BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
system (99)
dynam (86)
architectur (83)
base (68)
design (53)

Stem reconfigur$ (all stems)

430 papers:

CBSECBSE-2015-LanoreP #component #configuration management
A Reconfigurable Component Model for HPC (VL, CP), pp. 1–10.
ECSAECSA-2015-AlvaresRS #architecture #component #configuration management
High-Level Language Support for Reconfiguration Control in Component-Based Architectures (FA, ÉR, LS), pp. 3–19.
CASECASE-2015-ZakharovZYJ #algorithm #configuration management #distributed #fault tolerance #optimisation #performance #predict
A performance optimization algorithm for controller reconfiguration in fault tolerant distributed model predictive control (AZ, EZ, MY, SLJJ), pp. 886–891.
DACDAC-2015-EwetzJK #configuration management #design
Construction of reconfigurable clock trees for MCMM designs (RE, SJ, CKK), p. 6.
DACDAC-2015-LiuMLLCLWJBWY #configuration management #design #named
RENO: a high-efficient reconfigurable neuromorphic computing accelerator design (XL, MM, BL, HL, YC, BL, YW, HJ, MB, QW, JY), p. 6.
DACDAC-2015-LiuZ #configuration management #performance
A reconfigurable analog substrate for highly efficient maximum flow computation (GL, ZZ), p. 6.
DACDAC-2015-SantosVK #adaptation #configuration management #embedded #reliability
Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systems (RS, SV, AK), p. 6.
DACDAC-2015-TodmanSL #configuration management #design #monitoring #runtime #verification
In-circuit temporal monitors for runtime verification of reconfigurable designs (TT, SS, WL), p. 6.
DACDAC-2015-WangLZYW #architecture #configuration management #control flow
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
DATEDATE-2015-BalboniFB #configuration management #distributed #latency #multi #network #scalability
Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration (MB, JF, DB), pp. 806–811.
DATEDATE-2015-Lastras-Montano #configuration management #hybrid #memory management #named
HReRAM: a hybrid reconfigurable resistive random-access memory (MALM, AG, KTC), pp. 1299–1304.
DATEDATE-2015-LinWPKC #configuration management
Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles (XL, YW, MP, JK, NC), pp. 19–24.
DATEDATE-2015-MavropoulosKN #architecture #configuration management
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
DATEDATE-2015-PaganoVRCSS #configuration management
Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems (DP, MV, MR, RC, DS, MDS), pp. 920–923.
DATEDATE-2015-PaulinoFBC #configuration management #execution #hardware #using
Transparent acceleration of program execution using reconfigurable hardware (NMCP, JCF, JB, JMPC), pp. 1066–1071.
DATEDATE-2015-TangAKP #communication #configuration management
Dynamic reconfigurable puncturing for secure wireless communication (LT, JAA, AK, SP), pp. 888–891.
DATEDATE-2015-TuYOLW #architecture #configuration management #hardware #named
RNA: a reconfigurable architecture for hardware neural acceleration (FT, SY, PO, LL, SW), pp. 695–700.
SIGMODSIGMOD-2015-ElmoreATPAA #configuration management #database #fine-grained #in memory #memory management #named
Squall: Fine-Grained Live Reconfiguration for Partitioned Main Memory Databases (AJE, VA, RT, AP, DA, AEA), pp. 299–313.
ICALPICALP-v1-2015-MouawadNPR #configuration management
Shortest Reconfiguration Paths in the Solution Space of Boolean Formulas (AEM, NN, VP, VR), pp. 985–996.
ICGTICGT-2015-Padberg #configuration management #petri net
Reconfigurable Petri Nets with Transition Priorities and Inhibitor Arcs (JP), pp. 104–120.
CHICHI-2015-DevendorfR #configuration management #hybrid
Being the Machine: Reconfiguring Agency and Control in Hybrid Fabrication (LD, KR), pp. 2477–2486.
ICEISICEIS-v1-2015-GharbiGB #approach #configuration management #embedded #hybrid #realtime #scheduling #search-based
A Hybrid Genetic based Approach for Real-time Reconfigurable Scheduling of OS Tasks in Uniprocessor Embedded Systems (IG, HG, SB), pp. 385–390.
CBSECBSE-2014-BenedittoW #configuration management #runtime #using
Using a model to generate reconfiguration plans at runtime (MEMDB, CMLW), pp. 65–74.
CBSECBSE-2014-BuissonCDLM #component #configuration management
Coqcots & pycots: non-stopping components for safe dynamic reconfiguration (JB, EC, FD, EL, SM), pp. 85–90.
CBSECBSE-2014-DuranS #configuration management #robust
Robust reconfiguration of cloud applications (FD, GS), pp. 179–184.
CBSECBSE-2014-SurajbaliGC #architecture #configuration management #flexibility #named
AO-OpenCom: an AO-middleware architecture supporting flexible dynamic reconfiguration (BS, PG, GC), pp. 75–84.
ECSAECSA-2014-SurajbaliGC #architecture #configuration management #consistency #framework
A Consistency Framework for Dynamic Reconfiguration in AO-Middleware Architectures (BS, PG, GC), pp. 398–405.
DACDAC-2014-ParikhDB #configuration management #power management
Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
DACDAC-2014-ShanSFZTXYL #algorithm #analysis #configuration management #encryption #multi
A Side-channel Analysis Resistant Reconfigurable Cryptographic Coprocessor Supporting Multiple Block Cipher Algorithms (WS, LS, XF, XZ, CT, ZX, JY, JL), p. 6.
DACDAC-2014-ZhangKIBWH #configuration management #named #reliability
GUARD: GUAranteed Reliability in Dynamically Reconfigurable Systems (HZ, MAK, MEI, LB, HJW, JH), p. 6.
DATEDATE-2014-AhariAKT #architecture #configuration management #power management #using
A power-efficient reconfigurable architecture using PCM configuration technology (AA, HA, BK, MBT), pp. 1–6.
DATEDATE-2014-AshammagariMH #configuration management #design #functional #performance #power management
Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
DATEDATE-2014-ButerOGO #configuration management #named
DCM: An IP for the autonomous control of optical and electrical reconfigurable NoCs (WB, CO, DG, AGO), pp. 1–4.
DATEDATE-2014-CastellanaTF #adaptation #configuration management #hybrid #interface #memory management
An adaptive Memory Interface Controller for improving bandwidth utilization of hybrid and reconfigurable systems (VGC, AT, FF), pp. 1–4.
DATEDATE-2014-ChenCH #array #configuration management #constraints #synthesis
Area minimization synthesis for reconfigurable single-electron transistor arrays with fabrication constraints (YHC, JYC, JDH), pp. 1–4.
DATEDATE-2014-LeePB #configuration management
Brisk and limited-impact NoC routing reconfiguration (DL, RP, VB), pp. 1–6.
DATEDATE-2014-LeeWP #configuration management #framework #manycore #named
VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform (WL, YW, MP), pp. 1–6.
DATEDATE-2014-LiuW #configuration management #design #embedded #logic #obfuscation
Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks (BL, BW), pp. 1–6.
DATEDATE-2014-MaurichG #configuration management #encryption #lightweight
Lightweight code-based cryptography: QC-MDPC McEliece encryption on reconfigurable devices (IvM, TG), pp. 1–6.
DATEDATE-2014-TsaiCCC #3d #configuration management #memory management #multi
Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs (MLT, YJC, YTC, RHC), pp. 1–6.
DATEDATE-2014-WeberTGHKM #challenge #configuration management
Reconfigurable silicon nanowire devices and circuits: Opportunities and challenges (WMW, JT, MG, AH, MK, TM), pp. 1–6.
DATEDATE-2014-YinOLW #configuration management
Extending lifetime of battery-powered coarse-grained reconfigurable computing platforms (SY, PO, LL, SW), pp. 1–6.
FoSSaCSFoSSaCS-2014-BertrandFS #configuration management #game studies #network
Playing with Probabilities in Reconfigurable Broadcast Networks (NB, PF, AS), pp. 134–148.
ICEISICEIS-v2-2014-SilvaFG #configuration management #product line #runtime #towards
Toward a QoS Based Run-time Reconfiguration in Service-oriented Dynamic Software Product Lines (JRFdS, ASdMF, VCG), pp. 460–465.
SEKESEKE-2014-TangLYX #configuration management #development #towards
Towards Sustainability-Oriented Development of Dynamic Reconfigurable Software Systems (ST, LL, WY, JX), pp. 598–602.
ISMMISMM-2014-BaconCS #configuration management #garbage collection #hardware #parallel #realtime
Parallel real-time garbage collection of multiple heaps in reconfigurable hardware (DFB, PC, SS), pp. 117–127.
CBSECBSE-2013-HeinzemannB #architecture #component #configuration management
Executing reconfigurations in hierarchical component architectures (CH, SB), pp. 3–12.
CASECASE-2013-MoubarakAB #composition #configuration management #multi #scheduling
Reconfiguring a modular robot into a humanoid formation: A multi-body dynamic perspective on motion scheduling for modules and their assemblies (PMM, EJA, PBT), pp. 687–692.
CASECASE-2013-SaidiM #analysis #configuration management #development #self
Analysis and development of self-reconfigurable open kinematic machinery systems (RAS, BPM), pp. 966–971.
DACDAC-2013-HamzehSV #architecture #configuration management #named
REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs) (MH, AS, SBKV), p. 10.
DACDAC-2013-TangAP #communication #configuration management #multi #pipes and filters
Reconfigurable pipelined coprocessor for multi-mode communication transmission (LT, JAA, SP), p. 8.
DATEDATE-2013-CassanoCKHPS #configuration management #online #testing
On-line testing of permanent radiation effects in reconfigurable systems (LC, DC, SK, JH, MP, LS), pp. 717–720.
DATEDATE-2013-ChenPKSCP #configuration management #named
SMART: a single-cycle reconfigurable NoC for SoC applications (CHOC, SP, TK, SS, APC, LSP), pp. 338–343.
DATEDATE-2013-ChiangTWHCDN #array #configuration management #on the #order #synthesis #using
On reconfigurable single-electron transistor arrays synthesis using reordering techniques (CEC, LFT, CYW, CYH, YCC, SD, VN), pp. 1807–1812.
DATEDATE-2013-HsiehWH #configuration management #distributed #named #network
DANCE: distributed application-aware node configuration engine in shared reconfigurable sensor networks (CMH, ZW, JH), pp. 839–842.
DATEDATE-2013-ImagawaTOS #analysis #architecture #configuration management #effectiveness
A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis (TI, HT, HO, TS), pp. 701–706.
DATEDATE-2013-MiryalaMCMP #configuration management #logic
A verilog-a model for reconfigurable logic gates based on graphene pn-junctions (SM, MM, AC, EM, MP), pp. 877–880.
DATEDATE-2013-NavasSO #array #configuration management #flexibility #framework #reuse
The RecoBlock SoC platform: a flexible array of reusable run-time-reconfigurable IP-blocks (BN, IS, ), pp. 833–838.
DATEDATE-2013-PouyanAMR #adaptation #configuration management #design #implementation
Design and implementation of an adaptive proactive reconfiguration technique for SRAM caches (PP, EA, FM, AR), pp. 1303–1306.
DATEDATE-2013-RutzigBC #configuration management #energy #framework #multi
A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation (MBR, ACSB, LC), pp. 1559–1564.
DATEDATE-2013-ShreejithVFL #approach #configuration management #network #using
An approach for redundancy in FlexRay networks using FPGA partial reconfiguration (SS, KV, SAF, ML), pp. 721–724.
DATEDATE-2013-WangLPKC #architecture #configuration management #cost analysis #design #optimisation
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
DATEDATE-2013-WangYWZ #3d #configuration management #manycore #network
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors (KW, HY, BW, CZ), pp. 1643–1648.
DATEDATE-2013-XydisPS #configuration management
Thermal-aware datapath merging for coarse-grained reconfigurable processors (SX, GP, CS), pp. 1649–1654.
ICALPICALP-v2-2013-CosmoMZZ #component #configuration management
Component Reconfiguration in the Presence of Conflicts (RDC, JM, SZ, GZ), pp. 187–198.
HCIHCI-UC-2013-Kampf #configuration management #design #mobile #online
Reconfiguring the Corporate and Commons: Mobile and Online Activism as a Form of Socio-technical Design (CK), pp. 388–395.
ICEISICEIS-v2-2013-RositoRB #case study #configuration management
An Experimental Study on the Dynamic Reconfiguration of Software Projects (MCR, MBR, RMB), pp. 232–239.
ECOOPECOOP-2013-AuerbachBCFR #compilation #configuration management #graph #hardware
The Shape of Things to Run — Compiling Complex Stream Graphs to Reconfigurable Hardware in Lime (JSA, DFB, PC, SF, RMR), pp. 679–706.
SACSAC-2013-ImJLL #configuration management #manycore #operating system
A dynamically reconfigurable operating system for manycore systems (CI, MJ, JL, SL), pp. 1622–1627.
SACSAC-2013-LakhlefMB #algorithm #configuration management #distributed #performance #self
Distributed and efficient algorithm for self-reconfiguration of MEMS microrobots (HL, HM, JB), pp. 560–566.
SACSAC-2013-MezghaniHRD #architecture #configuration management #modelling
A model driven methodology for enabling autonomic reconfiguration of service oriented architecture (EM, RBH, IBR, KD), pp. 1772–1773.
SACSAC-2013-OliveiraB #configuration management #on the
On the reconfiguration of software connectors (NO, LSB), pp. 1885–1892.
ICSEICSE-2013-BoyerGP #component #configuration management #robust
Robust reconfigurations of component assemblies (FB, OG, DP), pp. 13–22.
ICSEICSE-2013-FreyFH #configuration management #deployment #in the cloud #optimisation #search-based
Search-based genetic optimization for deployment and reconfiguration of software in the cloud (SF, FF, WH), pp. 512–521.
CBSECBSE-2012-GhafariJSH #approach #architecture #component #configuration management #consistency
An architectural approach to ensure globally consistent dynamic reconfiguration of component-based systems (MG, PJ, SS, HH), pp. 177–182.
CBSECBSE-2012-HeinzemannPB #architecture #component #configuration management #modelling #towards
Towards modeling reconfiguration in hierarchical component architectures (CH, CP, SB), pp. 23–28.
WICSA-ECSAWICSA-ECSA-2012-Lopez-JaqueroMNEC #configuration management
Supporting ARINC 653-based Dynamic Reconfiguration (VLJ, FM, EN, AE, JAC), pp. 11–20.
DACDAC-2012-LinWYSCP #configuration management
Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects (XL, YW, SY, DS, NC, MP), pp. 516–521.
DACDAC-2012-YorkC #multi #on the
On the asymptotic costs of multiplexer-based reconfigurability (JY, DC), pp. 790–795.
DACDAC-2012-YuanLX #configuration management #debugging #named
X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug (FY, XL, QX), pp. 555–560.
DATEDATE-2012-BonamyPPC #configuration management #named #power management
UPaRC — Ultra-fast power-aware reconfiguration controller (RB, HMP, SP, DC), pp. 1373–1378.
DATEDATE-2012-ChaoCTHC #configuration management #scheduling
Congestion-aware scheduling for NoC-based reconfigurable systems (HLC, YRC, SYT, PAH, SJC), pp. 1561–1566.
DATEDATE-2012-ChenCHLLPR #configuration management #design #energy #hybrid
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design (YTC, JC, HH, BL, CL, MP, GR), pp. 45–50.
DATEDATE-2012-ChenMM #configuration management #manycore #online #scheduling
Online scheduling for multi-core shared reconfigurable fabric (LC, TM, TM), pp. 582–585.
DATEDATE-2012-GrudnitskyBH #architecture #configuration management
Partial online-synthesis for mixed-grained reconfigurable architectures (AG, LB, JH), pp. 1555–1560.
DATEDATE-2012-HanPC #architecture #configuration management #power management
State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
DATEDATE-2012-MarianiSPZSB #architecture #configuration management #design #multi #resource management #runtime #using
Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures (GM, VMS, GP, VZ, CS, KB), pp. 1379–1384.
DATEDATE-2012-PoulosYAVL #debugging #functional
Leveraging reconfigurability to raise productivity in FPGA functional debug (ZP, YSY, JA, AGV, BL), pp. 292–295.
DATEDATE-2012-QianTT #configuration management #self #using
A flit-level speedup scheme for network-on-chips using self-reconfigurable bi-directional channels (ZQ, YFT, CYT), pp. 1295–1300.
DATEDATE-2012-WernerOGHB #configuration management #distributed #manycore
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems (SW, OO, DG, MH, JB), pp. 280–283.
DATEDATE-2012-ZhengLGBYC #communication #configuration management #power management
Power-efficient calibration and reconfiguration for on-chip optical communication (YZ, PL, MG, JB, SY, KTC), pp. 1501–1506.
SIGMODSIGMOD-2012-TeubnerWN #automaton #configuration management
Skeleton automata for FPGAs: reconfiguring without reconstructing (JT, LW, CN), pp. 229–240.
PLDIPLDI-2012-BaconCS #configuration management #garbage collection #hardware #realtime
And then there were none: a stall-free real-time garbage collector for reconfigurable hardware (DFB, PC, SS), pp. 23–34.
FMFM-2012-DormoyKL #component #configuration management #refinement
When Structural Refinement of Components Keeps Temporal Properties over Reconfigurations (JD, OK, AL), pp. 171–186.
AdaEuropeAdaEurope-2012-Garcia-VallsI #ada #configuration management #distributed #middleware #realtime
Integrating Middleware for Timely Reconfiguration of Distributed Soft Real-Time Systems with Ada DSA (MGV, FIV), pp. 35–48.
SEKESEKE-2012-AffonsoR #architecture #configuration management #development
A Proposal of Reference Architecture for the Reconfigurable Software Development (FJA, ELLR), pp. 668–671.
SEKESEKE-2012-ShinKKJR #configuration management #dependence #impact analysis #using
Reconfiguration of Robot Applications using Data Dependency and Impact Analysis (MES, TK, SK, SJ, MR), pp. 684–687.
SACSAC-2012-HorikoshiNTO #adaptation #configuration management #non-functional #self
Dynamic reconfiguration in self-adaptive systems considering non-functional properties (HH, HN, YT, AO), pp. 1144–1150.
HPCAHPCA-2012-MukundanM #configuration management #memory management #multi #named #self
MORSE: Multi-objective reconfigurable self-optimizing memory scheduler (JM, JFM), pp. 65–76.
HPDCHPDC-2012-ParkLKHM #configuration management #pipes and filters #virtual machine
Locality-aware dynamic VM reconfiguration on MapReduce clouds (JP, DL, BK, JH, SM), pp. 27–36.
ECSAECSA-2011-KrichenHZJ #approach #configuration management #modelling #towards
Towards a Model-Based Approach for Reconfigurable DRE Systems (FK, BH, BZ, MJ), pp. 295–302.
ECSAECSA-2011-MassowHH #architecture #component #configuration management #performance #runtime #simulation
Performance Simulation of Runtime Reconfigurable Component-Based Software Architectures (RvM, AvH, WH), pp. 43–58.
ECSAECSA-2011-OrlicDML #architecture #component #concept #configuration management #framework #resource management
Dynamically Reconfigurable Resource-Aware Component Framework: Architecture and Concepts (BO, ID, RHM, JJL), pp. 212–215.
DACDAC-2011-ChenEWDXN #array #automation #configuration management
Automated mapping for reconfigurable single-electron transistor arrays (YCC, SE, CYW, SD, YX, VN), pp. 878–883.
DACDAC-2011-ChenM #configuration management #manycore
Shared reconfigurable fabric for multi-core customization (LC, TM), pp. 830–835.
DACDAC-2011-KinsmanN #configuration management #on the fly
Dynamic binary translation to a reconfigurable target for on-the-fly acceleration (PK, NN), pp. 286–287.
DACDAC-2011-LifaEP #configuration management #detection #fault #optimisation #performance
Performance optimization of error detection based on speculative reconfiguration (AAL, PE, ZP), pp. 369–374.
DACDAC-2011-WangMR #clustering #configuration management #energy #manycore #optimisation #realtime
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems (WW, PM, SR), pp. 948–953.
DACDAC-2011-ZhengSXHBC #array #framework #programmable
Programmable analog device array (PANDA): a platform for transistor-level analog reconfigurability (RZ, JS, CX, NH, BB, YC), pp. 322–327.
DATEDATE-2011-AhmedSBH #configuration management #multi #named #runtime
mRTS: Run-time system for reconfigurable processors with multi-grained instruction-set extensions (WA, MS, LB, JH), pp. 1554–1559.
DATEDATE-2011-AnsaloniPTD #array #configuration management #scheduling
Slack-aware scheduling on Coarse Grained Reconfigurable Arrays (GA, LP, KT, ND), pp. 1513–1516.
DATEDATE-2011-Cilardo #configuration management #hardware
The potential of reconfigurable hardware for HPC cryptanalysis of SHA-1 (AC), pp. 998–1003.
DATEDATE-2011-DragomirB #architecture #configuration management
Loop distribution for K-loops on Reconfigurable Architectures (OSD, KB), pp. 1548–1553.
DATEDATE-2011-KlobedanzK0 #approach #configuration management #fault tolerance #network
A reconfiguration approach for fault-tolerant FlexRay networks (KK, AK, WM), pp. 82–87.
DATEDATE-2011-LafiLJ #3d #configuration management #framework
A 3D reconfigurable platform for 4G telecom applications (WL, DL, AAJ), pp. 555–558.
DATEDATE-2011-LangeWK #configuration management #memory management #multi
MARC II: A parametrized speculative multi-ported memory subsystem for reconfigurable computers (HL, TW, AK), pp. 1352–1357.
DATEDATE-2011-MeyerNHBSGSB #configuration management #performance #using
Fast Start-up for Spartan-6 FPGAs using Dynamic Partial Reconfiguration (JM, JN, MH, LB, OS, RMG, RS, JB), pp. 1542–1547.
DATEDATE-2011-SafarESS #configuration management #pipes and filters #satisfiability
A reconfigurable, pipelined, conflict directed jumping search SAT solver (MS, MWEK, MS, AS), pp. 1243–1248.
DATEDATE-2011-ShafiqueBAH #configuration management #manycore #resource management #runtime
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors (MS, LB, WA, JH), pp. 1261–1266.
DATEDATE-2011-SterponeCMWF #configuration management #power management
A new reconfigurable clock-gating technique for low power SRAM-based FPGAs (LS, LC, DM, SW, FF), pp. 752–757.
DATEDATE-2011-VidalLGDG #configuration management #design #implementation #uml
Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation (JV, FdL, GG, JPD, SG), pp. 1208–1211.
DATEDATE-2011-WangNKWRLMB #configuration management #using
High-temperature (>500°C) reconfigurable computing using silicon carbide NEMS switches (XW, SN, ARK, FGW, SR, THL, MM, SB), pp. 1065–1070.
DATEDATE-2011-YoonLJPKPC #configuration management #embedded #incremental #named
I2CRF: Incremental interconnect customization for embedded reconfigurable fabrics (JWY, JL, JJ, SP, YK, YP, DC), pp. 1346–1351.
FMFM-2011-BoyerGS #configuration management #protocol #specification #verification
Specifying and Verifying the SYNERGY Reconfiguration Protocol with LOTOS NT and CADP (FB, OG, GS), pp. 103–117.
SEFMSEFM-2011-MoriLDID #adaptation #configuration management #self
Leveraging State-Based User Preferences in Context-Aware Reconfigurations for Self-Adaptive Systems (MM, FL, CD, PI, SD), pp. 286–301.
HCIHIMI-v1-2011-ChiabrandoFGLL #configuration management #interface #ontology
Dynamic Interface Reconfiguration Based on Different Ontological Relations (EC, RF, PG, SL, IL), pp. 538–547.
ESEC-FSEESEC-FSE-2011-MaBGML #component #configuration management #distributed
Version-consistent dynamic reconfiguration of component-based distributed systems (XM, LB, CG, VPLM, JL), pp. 245–255.
HPCAHPCA-2011-SrikantaiahKZKIX #adaptation #configuration management #multi #named
MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy (SS, EK, TZ, MTK, MJI, YX), pp. 231–242.
LCTESLCTES-2011-JangKLKYKKR #architecture #clustering #configuration management
An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures (CJ, JK, JL, HSK, DY, SK, HK, SR), pp. 151–160.
CBSECBSE-2010-DelavalR #component #configuration management #modelling
Reactive Model-Based Control of Reconfiguration in the Fractal Component-Based Model (GD, ÉR), pp. 93–112.
CBSECBSE-2010-LegerLC #component #configuration management #reliability
Reliable Dynamic Reconfigurations in a Reflective Component Model (ML, TL, TC), pp. 74–92.
ECSAECSA-2010-FiadeiroL #architecture #configuration management
A Model for Dynamic Reconfiguration in Service-Oriented Architectures (JLF, AL), pp. 70–85.
ECSAECSA-2010-JohnWP #architecture #configuration management #constraints #monitoring
Dynamic Architectural Constraints Monitoring and Reconfiguration in Service Architectures (JJ, MW, CP), pp. 311–318.
ASEASE-2010-CoorayMRK #configuration management #reliability
RESISTing reliability degradation through proactive reconfiguration (DC, SM, RR, DK), pp. 83–92.
ASEASE-2010-MelissonMRRS #architecture #component #configuration management #distributed #runtime
Reconfigurable run-time support for distributed service component architectures (RM, PM, DR, RR, LS), pp. 171–172.
CASECASE-2010-AngererPA #configuration management #mobile #named #using
MobComm: Using BDI-agents for the reconfiguration of mobile commissioning robots (SA, RP, RA), pp. 822–827.
CASECASE-2010-DjuricSE #configuration management #generative
Global Kinematic Model generation for n-DOF reconfigurable machinery structure (AMD, RAS, WE), pp. 804–809.
CASECASE-2010-FreitasGLH #configuration management #mobile #multi #optimisation
Multi-objective optimization for kinematic reconfiguration of mobile robots (GMF, GG, FCL, LH), pp. 686–691.
CASECASE-2010-LinAK #configuration management
Manipulator inverse dynamics computation on FPGA for reconfigurable applications (CSL, PKA, HK), pp. 810–815.
DACDAC-2010-ModarressiST #architecture #configuration management #network #performance
An efficient dynamically reconfigurable on-chip network architecture (MM, HSA, AT), pp. 166–169.
DACDAC-2010-TanachutiwatLWS #configuration management #logic #multi
Reconfigurable multi-function logic based on graphene P-N junctions (ST, JUL, WW, CYS), pp. 883–888.
DACDAC-2010-YinL #low cost #monitoring
Exploiting reconfigurability for low-cost in-situ test and monitoring of digital PLLs (LY, PL), pp. 929–934.
DATEDATE-2010-AkinSH #configuration management #estimation #hardware #multi
A reconfigurable hardware for one bit transform based multiple reference frame Motion Estimation (AA, GS, IH), pp. 393–398.
DATEDATE-2010-BenitezMRL #configuration management #memory management
A reconfigurable cache memory with heterogeneous banks (DB, JCM, DR, EL), pp. 825–830.
DATEDATE-2010-EconomakosXKS #component #configuration management #synthesis
Construction of dual mode components for reconfiguration aware high-level synthesis (GE, SX, IK, DS), pp. 1357–1360.
DATEDATE-2010-Jara-BerrocalG #architecture #configuration management #embedded #named
VAPRES: A Virtual Architecture for Partially Reconfigurable Embedded Systems (AJB, AGR), pp. 837–842.
DATEDATE-2010-KoenigBSSABH #architecture #configuration management #multi #named #novel
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture (RK, LB, TS, MS, WA, JB, JH), pp. 819–824.
DATEDATE-2010-KootiBLB #configuration management #embedded #realtime #scheduling
Transition-aware real-time task scheduling for reconfigurable embedded systems (HK, EB, SL, LB), pp. 232–237.
DATEDATE-2010-ProssGMJLHKS #configuration management #network
Demonstration of an in-band reconfiguration data distribution and network node reconfiguration (UP, SG, EM, MJ, JL, UH, JK, AS), pp. 614–617.
DATEDATE-2010-ShenHH #adaptation #configuration management
Learning-based adaptation to applications and environments in a reconfigurable Network-on-Chip (JSS, CHH, PAH), pp. 381–386.
DATEDATE-2010-TumeoRPFS #architecture #configuration management #implementation #multi #recognition #reliability
A reconfigurable multiprocessor architecture for a reliable face recognition implementation (AT, FR, GP, FF, DS), pp. 319–322.
DATEDATE-2010-VidalLGDS #configuration management #design #embedded #multi #uml
UML design for dynamically reconfigurable multiprocessor embedded systems (JV, FdL, GG, JPD, PS), pp. 1195–1200.
DATEDATE-2010-WhittySHEP #architecture #configuration management #memory management #performance
Application-specific memory performance of a heterogeneous reconfigurable architecture (SW, HS, BH, RE, WPR), pp. 387–392.
DATEDATE-2010-WongAN #configuration management
Dynamically reconfigurable register file for a softcore VLIW processor (SW, FA, FN), pp. 969–972.
DATEDATE-2010-ZhuSJ #configuration management #cpu #design #performance #streaming
Pareto efficient design for reconfigurable streaming applications on CPU/FPGAs (JZ, IS, AJ), pp. 1035–1040.
SIGMODSIGMOD-2010-VaidyaLBDNX #configuration management #data type #logic #named
Symbiote: a reconfigurable logic assisted data streammanagement system (RLADSMS) (PV, JJL, FB, YD, CHN, YX), pp. 1147–1150.
VLDBVLDB-2010-SadoghiJLSS #algorithm #configuration management #hardware #performance
Efficient Event Processing through Reconfigurable Hardware for Algorithmic Trading (MS, HAJ, ML, WS, HS), pp. 1525–1528.
ICFPICFP-2010-BuissonD #configuration management #execution #named
ReCaml: execution state as the cornerstone of reconfigurations (JB, FD), pp. 27–38.
ICFPICFP-2010-NaylorR
The reduceron reconfigured (MN, CR), pp. 75–86.
POPLPOPL-2010-GershenfeldDCKGDGS #automaton #configuration management #logic
Reconfigurable asynchronous logic automata: (RALA) (NG, DD, KC, AK, FG, EDD, SG, PSN), pp. 1–6.
WICSA-ECSAWICSA-ECSA-2009-IngstrupH #architecture #configuration management #modelling
Modeling architectural change: Architectural scripting and its applications to reconfiguration (MI, KMH), pp. 337–340.
WICSA-ECSAWICSA-ECSA-2009-RodriguezDCJ #adaptation #architecture #communication #configuration management #modelling #multi
A model-based multi-level architectural reconfiguration applied to adaptability management in context-aware cooperative communication support systems (IBR, KD, CC, MJ), pp. 353–356.
ASEASE-2009-WangM #approach #configuration management #requirements #self
Self-Repair through Reconfiguration: A Requirements Engineering Approach (YW, JM), pp. 257–268.
DACDAC-2009-ChattopadhyayZ #configuration management
Serial reconfigurable mismatch-tolerant clock distribution (AC, ZZ), pp. 611–612.
DACDAC-2009-GeMW #configuration management #memory management #pipes and filters
A DVS-based pipelined reconfigurable instruction memory (ZG, TM, WFW), pp. 897–902.
DACDAC-2009-KimM #array #configuration management #embedded #performance
Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems (YK, RNM), pp. 826–831.
DATEDATE-2009-BauerSH #architecture #configuration management #design
Cross-architectural design space exploration tool for reconfigurable processors (LB, MS, JH), pp. 958–963.
DATEDATE-2009-BordeHP #architecture #component #configuration management
Mode-based reconfiguration of critical software component architectures (EB, GH, LP), pp. 1160–1165.
DATEDATE-2009-BruneelAS #automation #configuration management #framework #self
Automatically mapping applications to a self-reconfiguring platform (KB, FA, DS), pp. 964–969.
DATEDATE-2009-DongCC #configuration management #design
Reconfigurable circuit design with nanomaterials (CD, SC, DC), pp. 442–447.
DATEDATE-2009-FlynnGG #configuration management
Bitstream relocation with local clock domains for partially reconfigurable FPGAs (AF, AGR, ADG), pp. 300–303.
DATEDATE-2009-FytrakiP #configuration management
ReSim, a trace-driven, reconfigurable ILP processor simulator (SF, DNP), pp. 536–541.
DATEDATE-2009-HuynhM #configuration management #embedded #realtime #runtime
Runtime reconfiguration of custom instructions for real-time embedded systems (HPH, TM), pp. 1536–1541.
DATEDATE-2009-Jara-BerrocalG #architecture #communication #composition #configuration management #named #parametricity #scalability
SCORES: A scalable and parametric streams-based communication architecture for modular reconfigurable systems (AJB, AGR), pp. 268–273.
DATEDATE-2009-KeCG #configuration management #design
A design methodology for fully reconfigurable Delta-Sigma data converters (YK, JC, GGEG), pp. 1379–1384.
DATEDATE-2009-KoesterLHP #configuration management #design #optimisation
Design optimizations to improve placeability of partial reconfiguration modules (MK, WL, JH, MP), pp. 976–981.
DATEDATE-2009-LarcherBGIBG #configuration management #standard
A MEMS reconfigurable quad-band Class-E Power Amplifier for GSM standard (LL, RB, MG, JI, MB, AG), pp. 364–368.
DATEDATE-2009-PotterLC #configuration management #design
Partition-based exploration for reconfigurable JPEG designs (PGP, WL, PYKC), pp. 886–889.
DATEDATE-2009-SabeghiB #approach #configuration management #runtime #towards
Toward a runtime system for reconfigurable computers: A virtualization approach (MS, KB), pp. 1576–1579.
DATEDATE-2009-SchallenbergNHHO #configuration management #framework #modelling #synthesis
OSSS+R: A framework for application level modelling and synthesis of reconfigurable systems (AS, WN, AH, PAH, FO), pp. 970–975.
DATEDATE-2009-SubramanianGD #adaptation #configuration management #design #embedded #grid #network #online #policy
Online adaptation policy design for grid sensor networks with reconfigurable embedded nodes (VS, MG, AD), pp. 1273–1278.
DATEDATE-2009-TasdizenKAH #architecture #configuration management #estimation #hardware #performance
A high performance reconfigurable Motion Estimation hardware architecture (OT, HK, AA, IH), pp. 882–885.
DATEDATE-2009-WhittySEP #algorithm #architecture #configuration management
Mapping of a film grain removal algorithm to a heterogeneous reconfigurable architecture (SW, HS, RE, WPR), pp. 27–32.
CSMRCSMR-2009-SoriaPC09a #architecture #aspect-oriented #configuration management #using
Handling the Dynamic Reconfiguration of Software Architectures Using Aspects (CCS, JP, JÁC), pp. 263–266.
ICPCICPC-2009-KimSW #configuration management #named
Kenyon-web: Reconfigurable web-based feature extractor (SK, SS, EJWJ), pp. 287–288.
IFMIFM-2009-HasanAT #analysis #array #configuration management #fault #memory management #probability
Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays (OH, NA, ST), pp. 277–291.
CAiSECAiSE-2009-DalpiazGM #architecture #configuration management #self
An Architecture for Requirements-Driven Self-reconfiguration (FD, PG, JM), pp. 246–260.
CIKMCIKM-2009-BalachandranPK #clustering #configuration management #dataset #documentation
Interpretable and reconfigurable clustering of document datasets by deriving word-based rules (VB, DP, DK), pp. 1773–1776.
SEKESEKE-2009-ShinS #configuration management #consistency #self
Consistency in Self-Reconfiguration of Self-Healing Systems (MES, KGRS), pp. 10–15.
GTTSEGTTSE-2009-DinizC #architecture #configuration management #embedded #program transformation
Code Transformations for Embedded Reconfigurable Computing Architectures (PCD, JMPC), pp. 322–344.
HPCAHPCA-2009-MadanZMUBIMN #3d #capacity #communication #configuration management #optimisation
Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy (NM, LZ, NM, ANU, RB, RI, SM, DN), pp. 262–274.
LCTESLCTES-2009-OhEPM #architecture #configuration management #scheduling
Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures (TO, BE, HP, SAM), pp. 21–30.
ECSAECSA-2008-BatistaGCCG #aspect-oriented #configuration management #on the
On the Interplay of Aspects and Dynamic Reconfiguration in a Specification-to-Deployment Environment (TVB, ATAG, GC, CC, AG), pp. 314–317.
WICSAWICSA-2008-ChangMQ #architecture #configuration management #formal method #graph #towards
Towards a Formal Model for Reconfigurable Software Architectures by Bigraphs (ZC, XM, ZQ), pp. 331–334.
CASECASE-2008-GuetaCAUO #configuration management #design #multi
Design of the end-effector tool attachment for robot arm with multiple reconfigurable goals (LBG, RC, TA, TU, JO), pp. 876–881.
CASECASE-2008-KuruvillaGS #configuration management #evaluation #reliability
Reliability evaluation of reconfigurable conveyor systems (SAK, SSG, SS), pp. 929–934.
DACDAC-2008-ChaudhuriGFHD #configuration management #embedded #runtime
An 8x8 run-time reconfigurable FPGA embedded in a SoC (SC, SG, FF, PH, JLD), pp. 120–125.
DACDAC-2008-DavisTYZ #configuration management #hardware #satisfiability
A practical reconfigurable hardware accelerator for Boolean satisfiability solvers (JDD, ZT, FY, LZ), pp. 780–785.
DACDAC-2008-NieuwoudtKM #automation #configuration management #design #network
Automated design of tunable impedance matching networks for reconfigurable wireless applications (AN, JK, YM), pp. 498–503.
DACDAC-2008-PaulB #configuration management #memory management #performance #resource management #using
Reconfigurable computing using content addressable memory for improved performance and resource usage (SP, SB), pp. 786–791.
DACDAC-2008-ZhangGT #2d #algorithm #configuration management #fault tolerance
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip (ZZ, AG, ST), pp. 441–446.
DATEDATE-2008-BeckRGC #configuration management #embedded
Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications (ACSB, MBR, GG, LC), pp. 1208–1213.
DATEDATE-2008-CopeCL #configuration management #gpu #logic #memory management #using
Using Reconfigurable Logic to Optimise GPU Memory Accesses (BC, PYKC, WL), pp. 44–49.
DATEDATE-2008-DeleddaMVBGMKRHBCPLMCD #communication #configuration management #design #framework
Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor (AD, CM, AV, PB, AG, PM, MK, FR, MH, JB, MC, LP, RL, GM, FC, TD), pp. 1352–1357.
DATEDATE-2008-Garcia #automation #configuration management
Safe Automatic Flight Back and Landing of Aircraft Flight Reconfiguration Function (FRF) (JAHG), pp. 280–283.
DATEDATE-2008-GlasKSMB #architecture #configuration management
A System Architecture for Reconfigurable Trusted Platforms (BG, AK, OS, KDMG, JB), pp. 541–544.
DATEDATE-2008-MarconiLBG #algorithm #configuration management #online
Intelligent Merging Online Task Placement Algorithm for Partial Reconfigurable Systems (TM, YL, KB, GG), pp. 1346–1351.
DATEDATE-2008-MokhovY #configuration management #graph #partial order #synthesis
Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis (AM, AY), pp. 1142–1147.
DATEDATE-2008-MorgadoRR #configuration management #multi #standard
A Triple-Mode Reconfigurable Sigma-Delta Modulator for Multi-Standard Wireless Applications (AM, RdR, JMdlR), pp. 862–867.
DATEDATE-2008-PurnaprajnaPP #configuration management #encryption #multi #power management
Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography (MP, CP, MP), pp. 1462–1467.
DATEDATE-2008-QuSN #configuration management #performance #runtime
Improving the Efficiency of Run Time Reconfigurable Devices by Configuration Locking (YQ, JPS, JN), pp. 264–267.
DATEDATE-2008-RedaelliSS #anti #configuration management #scheduling
Task Scheduling with Configuration Prefetching and Anti-Fragmentation techniques on Dynamically Reconfigurable Systems (FR, MDS, DS), pp. 519–522.
DATEDATE-2008-VogtW #configuration management #set
A Reconfigurable Application Specific Instruction Set Processor for Convolutional and Turbo Decoding in a SDR Environment (TV, NW), pp. 38–43.
DATEDATE-2008-WolinskiK #automation #configuration management
Automatic Selection of Application-Specific Reconfigurable Processor Extensions (CW, KK), pp. 1214–1219.
GT-VMTGT-VMT-2008-BiermannM #analysis #configuration management #independence #rule-based
Independence Analysis of Firing and Rule-based Net Transformations in Reconfigurable Object Nets (EB, TM).
GT-VMTGT-VMT-2008-KoehlerCPA #configuration management #data flow
Reconfiguration of Reo Connectors Triggered by Dataflow (CK, DC, JP, FA).
GT-VMTGT-VMT-2008-ReinPLHP #configuration management
Negative Application Conditions for Reconfigurable Place/Transition Systems (AR, UP, LL, KH, JP).
ICGTICGT-2008-Khan #analysis #configuration management #graph transformation #modelling #network #using
Model-Based Analysis of Network Reconfigurations Using Graph Transformation Systems (AK), pp. 502–504.
SEKESEKE-2008-HuLTS #approach #configuration management #enterprise #maintenance #named
MAPLE: a Maintenance Approach for Pattern-enabLed rEconfiguration of SOA-based Enterprise Application (SH, YL, JT, YS), pp. 209–214.
SEKESEKE-2008-VogelBW #configuration management #enterprise
Autonomous Reconfiguration Procedures for EJB-based Enterprise Applications (TV, JB, GW), pp. 48–53.
SACSAC-2008-GuiFSB #component #configuration management #embedded #hybrid #realtime
A hybrid real-time component model for reconfigurable embedded systems (NG, VDF, HS, CB), pp. 1590–1596.
SACSAC-2008-PereiraAGS #architecture #configuration management #hybrid #using
Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture (MMP, SRFdA, BCdO, ISS), pp. 1552–1553.
SACSAC-2008-PerngCK #configuration management #embedded #hardware
The minimization of hardware size in reconfigurable embedded platforms (NCP, JJC, TWK), pp. 1517–1522.
CCCC-2008-BergeronFD #compilation #configuration management #hardware #jit #off the shelf
Hardware JIT Compilation for Off-the-Shelf Dynamically Reconfigurable FPGAs (EB, MF, JPD), pp. 178–192.
LCTESLCTES-2008-RajopadhyeGR #configuration management
A domain specific interconnect for reconfigurable computing (SVR, GG, LR), pp. 79–88.
LCTESLCTES-2008-SutterCAM #array #configuration management
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays (BDS, PC, TVA, BM), pp. 151–160.
CBSECBSE-2007-PolakovicMSD #component #configuration management #embedded #experience
Experience with Safe Dynamic Reconfigurations in Component-Based Embedded Systems (JP, SM, JBS, PCD), pp. 242–257.
ECSAECSA-2007-SoriaAPCR #architecture #aspect-oriented #configuration management
Dynamic Reconfiguration of Software Architectures Through Aspects (CCS, NA, JP, JÁC, IR), pp. 279–283.
CASECASE-2007-Alcaraz-MejiaLR #configuration management #fault
Redundancy Based Controller Reconfiguration for Fault Recovery of Manufacturing Systems (MAM, ELM, ART), pp. 128–133.
CASECASE-2007-GarciaV #automation #configuration management #feature model #visual notation
Automated Feature Selection Methodology for Reconfigurable Automated Visual Inspection Systems (HCG, JRV), pp. 542–547.
CASECASE-2007-HajiD #configuration management #petri net #project management
Petri Net based Supervisory Control Reconfiguration of Project Management Systems (MH, HD), pp. 460–465.
CASECASE-2007-MalecNNN #automation #configuration management #knowledge-based
Knowledge-Based Reconfiguration of Automation Systems (JM, AN, KN, SN), pp. 170–175.
DACDAC-2007-BanerjeeBDN #architecture #configuration management #resource management #scheduling
Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures (SB, EB, ND, JN), pp. 771–776.
DACDAC-2007-LiuONG #configuration management #design #logic #novel
Novel CNTFET-based Reconfigurable Logic Gate Design (JL, IO, DN, FG), pp. 276–277.
DACDAC-2007-ZhangSJ #architecture #configuration management #design #hybrid #named #optimisation
NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture (WZ, LS, NKJ), pp. 300–305.
DATEDATE-2007-AlamRMGCS #configuration management
An area optimized reconfigurable encryptor for AES-Rijndael (MA, SR, DM, SG, DRC, IS), pp. 1116–1121.
DATEDATE-2007-ArbeloKLLBSM #architecture #configuration management #kernel #video
Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the H.264/AVC deblocking filter (CA, AK, SL, JFL, MB, RS, JYM), pp. 177–182.
DATEDATE-2007-CampiDPCRMLVV #adaptation #configuration management
A dynamically adaptive DSP for heterogeneous reconfigurable platforms (FC, AD, MP, LC, PLR, CM, AL, AV, LV), pp. 9–14.
DATEDATE-2007-ClausZMS #configuration management #hardware #using #video
Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system (CC, JZ, FHM, WS), pp. 498–503.
DATEDATE-2007-CuiDHG #2d #algorithm #configuration management #online #performance
An efficient algorithm for online management of 2D area of partially reconfigurable FPGAs (JC, QD, XH, ZG), pp. 129–134.
DATEDATE-2007-DittmannF #configuration management #realtime #scheduling
Hard real-time reconfiguration port scheduling (FD, SF), pp. 123–128.
DATEDATE-2007-FaragES #2d #configuration management #using
Improving utilization of reconfigurable resources using two dimensional compaction (AAEF, HMEB, SIS), pp. 135–140.
DATEDATE-2007-FietheMDOZ #configuration management
Reconfigurable system-on-chip data processing units for space imaging instruments (BF, HM, CD, BO, GZ), pp. 977–982.
DATEDATE-2007-GeWL #configuration management #embedded #memory management #named #power management
DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems (ZG, WFW, HBL), pp. 1343–1348.
DATEDATE-2007-HanssonCG #configuration management #multi #network
Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip (AH, MC, KG), pp. 954–959.
DATEDATE-2007-KhanA #architecture #configuration management #implementation #pipes and filters #programmable #realtime
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
DATEDATE-2007-KrishnaiahES #architecture #configuration management #scalability
Scalable reconfigurable channel decoder architecture for future wireless handsets (GK, NE, SS), pp. 1563–1568.
DATEDATE-2007-KumarHHC #configuration management #design #interactive #multi
Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip (AK, AH, JH, HC), pp. 117–122.
DATEDATE-2007-ManetMTCMGLAGLB #configuration management #hardware #interactive #programmable
Interactive presentation: RECOPS: reconfiguring programmable devices for military hardware electronics (PM, DM, LT, MDC, OM, YG, JDL, DA, CG, RL, VLB), pp. 994–999.
DATEDATE-2007-MucciVCT #architecture #configuration management #implementation #interactive
Interactive presentation: Implementation of AES/Rijndael on a dynamically reconfigurable architecture (CM, LV, FC, MT), pp. 355–360.
DATEDATE-2007-NahapetianLABS #configuration management #energy #network
Dynamic reconfiguration in sensor networks with regenerative energy sources (AN, PL, AA, LB, MS), pp. 1054–1059.
DATEDATE-2007-QuSN #configuration management #energy #interactive #runtime #scalability #using
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices (YQ, JPS, JN), pp. 147–152.
DATEDATE-2007-RousseauMGMLDG #certification #configuration management #using
Enabling certification for dynamic partial reconfiguration using a minimal flow (BR, PM, DG, DM, JDL, FD, YG), pp. 983–988.
DATEDATE-2007-SafarSES #configuration management #interactive #satisfiability
Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver (MS, MS, MWEK, AS), pp. 153–158.
DATEDATE-2007-SunWD #configuration management #design
Flexibility-oriented design methodology for reconfigurable DeltaSigma modulators (PS, YW, AD), pp. 415–420.
DATEDATE-2007-YonedaIF #algorithm #configuration management #interactive #scheduling #using
Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers (TY, MI, HF), pp. 231–236.
AGTIVEAGTIVE-2007-ErmelE #analysis #configuration management #simulation #visualisation
Visualization, Simulation and Analysis of Reconfigurable Systems (CE, KE), pp. 265–280.
MODELSMoDELS-2007-BatoriTA #configuration management #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
MODELSMoDELS-2007-BatoriTA #configuration management #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
SACSAC-2007-ChangA #configuration management #web
Supporting reconfigurable object distribution for customized web applications (PHC, GA), pp. 1286–1292.
SACSAC-2007-GramoliAV #configuration management #memory management #named #scalability
SQUARE: scalable quorum-based atomic memory with local reconfiguration (VG, EA, AV), pp. 574–579.
SACSAC-2007-MengC #adaptation #configuration management #database #sequence
An adaptive data prefetching scheme for biosequence database search on reconfigurable platforms (XM, VC), pp. 140–141.
SACSAC-2007-NazKOF #configuration management #embedded #novel
Reconfigurable split data caches: a novel scheme for embedded systems (AN, KMK, JHO, PF), pp. 707–712.
CBSECBSE-2006-HnetynkaP #component #configuration management #modelling
Dynamic Reconfiguration and Access to Services in Hierarchical Component Models (PH, FP), pp. 352–359.
ASEASE-2006-WarrenSKW #approach #automation #configuration management #formal method
An Automated Formal Approach to Managing Dynamic Reconfiguration (IW, JS, SK, TW), pp. 37–46.
CASECASE-2006-0002WM #configuration management
Dynamic Reconfiguration for Robot Software (ZY, IW, BAM), pp. 292–297.
DACDAC-2006-AbramoviciBDLMM #configuration management #framework
A reconfigurable design-for-debug infrastructure for SoCs (MA, PB, KND, PL, GM, DM), pp. 7–12.
DACDAC-2006-JonesHDTSFCM #automation #configuration management #power management
An automated, reconfigurable, low-power RFID tag (AKJ, RRH, SRD, SCT, RS, JF, JTC, MHM), pp. 131–136.
DACDAC-2006-ZhangJS #architecture #configuration management #hybrid #named
NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture (WZ, NKJ, LS), pp. 711–716.
DATEDATE-2006-AhnYPKKC #algorithm #architecture #configuration management
A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures (MA, JWY, YP, YK, MK, KC), pp. 363–368.
DATEDATE-2006-HeJ #configuration management #framework #named #synthesis
RAS-NANO: a reliability-aware synthesis framework for reconfigurable nanofabrics (CH, MFJ), pp. 1179–1184.
DATEDATE-2006-LucasHRERWGFHES #configuration management #framework #realtime
A reconfigurable HW/SW platform for computation intensive high-resolution real-time digital film applications (AdCL, SH, PR, RE, HR, GW, KG, RF, WH, SE, GS), pp. 194–199.
DATEDATE-2006-NascimentoL #architecture #clustering #complexity #configuration management #image
Temporal partitioning for image processing based on time-space complexity in reconfigurable architectures (PSBdN, MEdL), pp. 375–380.
DATEDATE-2006-PanainteBV #compilation #configuration management
Compiler-driven FPGA-area allocation for reconfigurable computing (EMP, KB, SV), pp. 369–374.
DATEDATE-2006-PionteckAK #configuration management
A dynamically reconfigurable packet-switched network-on-chip (TP, CA, RK), pp. 136–137.
DATEDATE-2006-QuSN #configuration management #parallel #runtime
A parallel configuration model for reducing the run-time reconfiguration overhead (YQ, JPS, JN), pp. 965–969.
DATEDATE-2006-SekarLRD #adaptation #configuration management
Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms (KS, KL, AR, SD), pp. 728–733.
DATEDATE-2006-VandierendonckML #configuration management
Application-specific reconfigurable XOR-indexing to eliminate cache conflict misses (HV, PM, JDL), pp. 357–362.
DATEDATE-2006-WeiTD #communication #configuration management #design #multi
Systematic methodology for designing reconfigurable Delta-Sigma modulator topologies for multimode communication systems (YW, HT, AD), pp. 393–398.
DATEDATE-2006-YiNMKAL #configuration management #scheduling
System-level scheduling on instruction cell based reconfigurable systems (YY, IN, MM, SK, TA, IL), pp. 381–386.
DATEDATE-DF-2006-DavilaTSSBR #algorithm #architecture #configuration management #design #implementation
Design and implementation of a rendering algorithm in a SIMD reconfigurable architecture (MorphoSys) (JD, AdT, JMS, MSE, NB, FR), pp. 52–57.
ESOPESOP-2006-SecoC #configuration management
Types for Dynamic Reconfiguration (JCS, LC), pp. 214–229.
ICPRICPR-v2-2006-Maruyama #clustering #configuration management #hardware #image #realtime
Real-time K-Means Clustering for Color Images on Reconfigurable Hardware (TM), pp. 816–819.
SPLCSPLC-2006-LeeK #approach #configuration management #feature model #product line
A Feature-Oriented Approach to Developing Dynamically Reconfigurable Products in Product Line Engineering (JL, KCK), pp. 131–140.
LCTESLCTES-2006-DanneP #configuration management #hardware #scheduling
An EDF schedulability test for periodic tasks on reconfigurable hardware devices (KD, MP), pp. 93–102.
LCTESLCTES-2006-YanSG #architecture #configuration management #estimation #implementation
Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures (LY, TS, NG), pp. 182–188.
WICSAWICSA-2005-JooliaBCG #component #configuration management #framework #performance #runtime #specification
Mapping ADL Specifications to an Efficient and Reconfigurable Runtime Component Platform (AJ, TVB, GC, ATAG), pp. 131–140.
CASECASE-2005-Hwang #approach #automation #behaviour #configuration management #finite #generative
Generating finite-state global behavior of reconfigurable automation systems: DEVS approach (MHH), pp. 254–260.
CASECASE-2005-ZhangNML #configuration management #multi #optimisation
Multi-factory optimization enables kit reconfiguration in semiconductor manufacturing (MTZ, SN, MM, QL), pp. 105–112.
DACDAC-2005-BanerjeeBD #architecture #clustering #configuration management
Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration (SB, EB, NDD), pp. 335–340.
DACDAC-2005-BeckC #configuration management
Dynamic reconfiguration with binary translation: breaking the ILP barrier with software compatibility (ACSB, LC), pp. 732–737.
DATEDATE-2005-BalakrishnanT #configuration management #linear #using
Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination (KJB, NAT), pp. 1130–1135.
DATEDATE-2005-CheungLC #configuration management
Reconfigurable Elliptic Curve Cryptosystems on a Chip (RCCC, WL, PYKC), pp. 24–29.
DATEDATE-2005-HeusalaL #configuration management #modelling #product line
Modeling of a Reconfigurable OFDM IP Block Family For an RF System Simulator (HH, JL), pp. 136–137.
DATEDATE-2005-JeffreyCPLRR #configuration management #integration #monitoring #online #safety #using
The Integration of On-Line Monitoring and Reconfiguration Functions using IEEE1149.4 Into a Safety Critical Automotive Electronic Control Unit (CJ, RC, SP, ML, AR, SR), pp. 153–158.
DATEDATE-2005-KimKPJC #architecture #configuration management #optimisation #pipes and filters #resource management
Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization (YK, MK, CP, JJ, KC), pp. 12–17.
DATEDATE-2005-LinkV #configuration management #runtime
Hotspot Prevention Through Runtime Reconfiguration in Network-On-Chip (GML, NV), pp. 648–649.
DATEDATE-2005-MiramondD #architecture #configuration management #design
Design Space Exploration for Dynamically Reconfigurable Architectures (BM, JMD), pp. 366–371.
DATEDATE-2005-NolletMAM #configuration management #hardware #resource management #runtime
Centralized Run-Time Resource Management in a Network-on-Chip Containing Reconfigurable Hardware Tiles (VN, TM, PA, JYM), pp. 234–239.
DATEDATE-2005-ResanoMC #configuration management #hardware #heuristic #hybrid #runtime #scheduling
A Hybrid Prefetch Scheduling Heuristic to Minimize at Run-Time the Reconfiguration Overhead of Dynamically Reconfigurable Hardware (JR, DM, FC), pp. 106–111.
DATEDATE-2005-SchattkowskyMR #approach #configuration management #execution #hardware #modelling #specification
A Model-Based Approach for Executable Specifications on Reconfigurable Hardware (TS, WM, AR), pp. 692–697.
DATEDATE-2005-StreichertHT #clustering #configuration management #distributed #embedded #network
Distributed HW/SW-Partitioning for Embedded Reconfigurable Networks (TS, CH, JT), pp. 894–895.
DATEDATE-2005-SuC #configuration management #design #fault tolerance
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips (FS, KC), pp. 1202–1207.
DATEDATE-2005-SuCP #configuration management #using
Yield Enhancement of Digital Microfluidics-Based Biochips Using Space Redundancy and Local Reconfiguration (FS, KC, VKP), pp. 1196–1201.
ICSMEICSM-2005-ZhangZ #configuration management #evolution #maintenance #self #using
Using Self-Reconfigurable Workplaces to Automate the Maintenance of Evolving Business Applications (QZ, YZ), pp. 219–229.
MODELSMoDELS-2005-WalshBS #configuration management #domain model
A Domain Model for Dynamic System Reconfiguration (DW, FB, BS), pp. 553–567.
MODELSMoDELS-2005-WalshBS #configuration management #domain model
A Domain Model for Dynamic System Reconfiguration (DW, FB, BS), pp. 553–567.
PADLPADL-2005-TanasescuW #configuration management #declarative
Role-Based Declarative Synchronization for Reconfigurable Systems (VT, PTW), pp. 52–66.
SACSAC-2005-OSullivanS #configuration management #mobile
Agent technology and reconfigurable computing for mobile devices (TO, RS), pp. 963–969.
WICSAWICSA-2004-GomaaH #architecture #configuration management #evolution
Software Reconfiguration Patterns for Dynamic Evolution of Software Architectures (HG, MH), pp. 79–88.
ASEASE-2004-Arshad #automation #configuration management #using
Automated Dynamic Reconfiguration using AI Planning (NA), pp. 402–405.
DACDAC-2004-BriskKS #configuration management #design #set #synthesis
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs (PB, AK, MS), pp. 395–400.
DACDAC-2004-ResanoM #configuration management #hardware #scheduling
Specific scheduling support to minimize the reconfiguration overhead of dynamically reconfigurable hardware (JR, DM), pp. 119–124.
DACDAC-2004-VuleticPI #configuration management #memory management
Virtual memory window for application-specific reconfigurable coprocessors (MV, LP, PI), pp. 948–953.
DATEDATE-DF-2004-BoschettiSB #architecture #configuration management #image #runtime
A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications (MRB, ISS, SB), pp. 242–247.
DATEDATE-DF-2004-CilardoMRS #composition #configuration management #hardware
Carry-Save Montgomery Modular Exponentiation on Reconfigurable Hardware (AC, AM, LR, GPS), pp. 206–211.
DATEDATE-DF-2004-QuaxHM #configuration management #implementation #scalability
A Scalable Implementation of a Reconfigurable WCDMA Rake Receiver (MQ, JH, JLvM), pp. 230–235.
DATEDATE-v1-2004-BansalGDNG #architecture #configuration management #network
Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures (NB, SG, ND, AN, RG), pp. 474–479.
DATEDATE-v1-2004-VuleticRPI #configuration management #interface #operating system
Operating System Support for Interface Virtualisation of Reconfigurable Coprocessors (MV, LR, LP, PI), p. 748.
DATEDATE-v2-2004-KhawamBPAAAW #array #configuration management #implementation #mobile #performance #video
Efficient Implementations of Mobile Video Computations on Domain-Specific Reconfigurable Arrays (SK, SB, AP, IA, NA, TA, FW), pp. 1230–1235.
DATEDATE-v2-2004-MeiVVL #architecture #case study #configuration management #design #matrix
Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study (BM, SV, DV, RL), pp. 1224–1229.
DATEDATE-v2-2004-NaculG #configuration management #power management
Dynamic Voltage and Cache Reconfiguration for Low Power (ACN, TG), pp. 1376–1379.
DATEDATE-v2-2004-RosaPGL #configuration management #framework #implementation
Implementation of a UMTS Turbo-Decoder on a Dynamically Reconfigurable Platform (ALR, CP, FG, LL), pp. 1218–1223.
DATEDATE-2005-BorgattiCRLMFP04 #configuration management #design #multi #verification
An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems (MB, AC, UR, JLL, IM, FF, GP), pp. 266–271.
DATEDATE-2005-GalanisMTSG04 #clustering #configuration management #hybrid
A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms (MDG, AM, GT, DS, CEG), pp. 247–252.
DATEDATE-2005-RissaDL04 #configuration management #embedded #evaluation #modelling
Evaluation of SystemC Modelling of Reconfigurable Embedded Systems (TR, AD, WL), pp. 253–258.
DATEDATE-2005-UllmannJB04 #configuration management #hardware
Hardware Support for QoS-based Function Allocation in Reconfigurable Systems (MU, WJ, JB), pp. 259–264.
ICSMEICSM-2004-JanssensMHV #approach #composition #configuration management
A Modular Approach Enforcing Safe Reconfiguration of Producer-Consumer Applications (NJ, SM, TH, PV), pp. 274–283.
SACSAC-2004-CugolaFMP #configuration management
Minimizing the reconfiguration overhead in content-based publish-subscribe (GC, DF, ALM, GPP), pp. 1134–1140.
FSEFSE-2004-GieseBSO #component #composition #configuration management #design #verification
Modular design and verification of component-based mechatronic systems with online-reconfiguration (HG, SB, WS, OO), pp. 179–188.
ICSEICSE-2004-HillmanW #configuration management #framework
An Open Framework for Dynamic Reconfiguration (JH, IW), pp. 594–603.
LCTESLCTES-2004-GuoBN #compilation #configuration management #hardware #reuse
Input data reuse in compiling window operations onto reconfigurable hardware (ZG, BB, WAN), pp. 249–256.
DACDAC-2003-BorgattiCSFILMPPR #configuration management #embedded #memory management #multi
A reconfigurable signal processing IC with embedded FPGA and multi-port flash memory (MB, LC, GDS, BF, DI, FL, GM, MP, MP, PLR), pp. 691–695.
DACDAC-2003-KaplanBK #communication #configuration management #estimation #reduction
Data communication estimation and reduction for reconfigurable systems (AK, PB, RK), pp. 616–621.
DATEDATE-2003-BlodgetML #approach #configuration management #embedded #lightweight
A Lightweight Approach for Embedded Reconfiguration of FPGAs (BB, SM, PL), pp. 10399–10401.
DATEDATE-2003-Dales #configuration management
Managing a Reconfigurable Processor in a General Purpose Workstation Environment (MD), pp. 10980–10985.
DATEDATE-2003-DuSTBAF #configuration management #interactive
Interactive Ray Tracing on Reconfigurable SIMD MorphoSys (HD, MSE, NT, NB, MLA, MF), pp. 20144–20149.
DATEDATE-2003-GericotaASF #configuration management #logic #runtime
Run-Time Management of Logic Resources on Reconfigurable Systems (MGG, GRA, MLS, JMF), pp. 10974–10979.
DATEDATE-2003-GuptaB #architecture
Different Approaches to Add Reconfigurability in a SoC Architecture (BG, MB), p. 10398.
DATEDATE-2003-HelmschmidtSRRMB #configuration management
Reconfigurable Signal Processing in Wireless Terminals (JH, ES, PR, SR, SdM, RB), pp. 20244–20249.
DATEDATE-2003-IndrusiakLRG #configuration management #hardware #implementation #ubiquitous
Ubiquitous Access to Reconfigurable Hardware: Application Scenarios and Implementation Issues (LSI, FL, RAdLR, MG), pp. 10940–10945.
DATEDATE-2003-LangeK #configuration management #design #embedded #framework #hardware
Virtual Hardware Byte Code as a Design Platform for Reconfigurable Embedded Systems (SL, UK), pp. 10302–10309.
DATEDATE-2003-MeiVVML #architecture #configuration management #parallel #scheduling #using
Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling (BM, SV, DV, HDM, RL), pp. 10296–10301.
DATEDATE-2003-MignoletNCVVL #configuration management #design #framework
Infrastructure for Design and Management of Relocatable Tasks in a Heterogeneous Reconfigurable System-on-Chip (JYM, VN, PC, DV, SV, RL), pp. 10986–10993.
DATEDATE-2003-MoraesMPMC #configuration management #development
Development of a Tool-Set for Remote and Partial Reconfiguration of FPGAs (FGM, DM, JCSP, LM, NLVC), pp. 11122–11123.
DATEDATE-2003-NicolaidisAB #configuration management #self
Optimal Reconfiguration Functions for Column or Data-bit Built-In Self-Repair (MN, NA, SB), pp. 10590–10595.
DATEDATE-2003-RosaLP #configuration management #design #hardware
Hardware/Software Design Space Exploration for a Reconfigurable Processor (ALR, LL, CP), pp. 10570–10575.
DATEDATE-2003-Sanchez-ElezFADBH #architecture #configuration management #data transformation #energy #memory management #multi
Low Energy Data Management for Different On-Chip Memory Levels in Multi-Context Reconfigurable Architectures (MSE, MF, MLA, HD, NB, RH), pp. 10036–10043.
DATEDATE-2003-VanzagoBCL #configuration management #design #framework #protocol
Design Space Exploration for a Wireless Protocol on a Reconfigurable Platform (LV, BB, JC, LL), pp. 10662–10667.
DATEDATE-2003-Vissers #architecture #configuration management #parallel
Parallel Processing Architectures for Reconfigurable Systems (KAV), pp. 10396–10397.
DATEDATE-2003-WalderP #configuration management #online #scheduling
Online Scheduling for Block-Partitioned Reconfigurable Devices (HW, MP), pp. 10290–10295.
FASEFASE-2003-AguirreM #component #configuration management #logic #specification
A Logical Basis for the Specification of Reconfigurable Component-Based Systems (NA, TSEM), pp. 37–51.
SACSAC-2003-GoncalvesMCWFRM #architecture #configuration management #design #named
ARCHITECT-R: A System for Reconfigurable Robots Design (RAG, PAM, JMPC, DFW, MMF, RAFR, EM), pp. 679–683.
SACSAC-2003-XiaH #configuration management #database #design #mobile #protocol
A Dynamic Data/Currency Protocol for Mobile Database Design and Reconfiguration (YX, AH), pp. 550–556.
CGOCGO-2003-ScottKVCDS #configuration management
Retargetable and Reconfigurable Software Dynamic Translation (KS, NK, SV, BRC, JWD, MLS), pp. 36–47.
LCTESLCTES-2003-GuoSBH #algorithm #configuration management #graph
A graph covering algorithm for a coarse grain reconfigurable system (YG, GJMS, HB, PMH), pp. 199–208.
LCTESLCTES-2003-LeeCD #algorithm #architecture #configuration management
An algorithm for mapping loops onto coarse-grained reconfigurable architectures (JeL, KC, NDD), pp. 183–188.
WICSAWICSA-2002-Ramdane-CherifLL #analysis #architecture #configuration management #evaluation
Dynamic Reconfigurable Software Architecture: Analysis and Evaluation (ARC, NL, FL), pp. 79–93.
ASEASE-2002-AguirreM #approach #component #configuration management #logic #specification
A Temporal Logic Approach to the Specification of Reconfigurable Component-Based Systems (NA, TSEM), pp. 271–274.
ASEASE-2002-Chen #component #configuration management #dependence #distributed
Dependence Management for Dynamic Reconfiguration of Component-Based Distributed Systems (XC), pp. 279–284.
DACDAC-2002-ChenMB #configuration management #generative
A reconfigurable FPGA-based readback signal generator for hard-drive read channel simulator (JC, JM, KB), pp. 349–354.
DACDAC-2002-HortaLTP #configuration management #hardware #plugin #runtime
Dynamic hardware plugins in an FPGA with partial run-time reconfiguration (ELH, JWL, DET, DBP), pp. 343–348.
DACDAC-2002-HuangM #configuration management #parallel
Exploiting operation level parallelism through dynamically reconfigurable datapaths (ZH, SM), pp. 337–342.
DATEDATE-2002-GericotaASF #concurrent #configuration management #novel
A Novel Methodology for the Concurrent Test of Partial and Dynamically Reconfigurable SRAM-Based FPGAs (MGG, GRA, MLS, JMF), p. 1126.
DATEDATE-2002-GuccioneVB #configuration management #design
Design Technology for Networked Reconfigurable FPGA Platforms (SG, DV, IB), pp. 994–997.
DATEDATE-2002-LewisBLWGT #configuration management #question #what
Reconfigurable SoC — What Will it Look Like? (JBL, IB, RL, CW, BG, YT), pp. 660–662.
DATEDATE-2002-RizzoC #architecture #case study #configuration management #video
A Video Compression Case Study on a Reconfigurable VLIW Architecture (DR, OC), pp. 540–546.
DATEDATE-2002-Sanchez-ElezFMMKHB #architecture #configuration management #multi
A Complete Data Scheduler for Multi-Context Reconfigurable Architectures (MSE, MF, RM, RH, NB, FJK), pp. 547–552.
DATEDATE-2002-SassatelliTBGDCG #architecture #configuration management #scalability
Highly Scalable Dynamically Reconfigurable Systolic Ring-Architecture for DSP Applications (GS, LT, PB, TG, CD, GC, JG), pp. 553–558.
DATEDATE-2002-SkliarovaF #configuration management #hardware #satisfiability #using
A SAT Solver Using Software and Reconfigurable Hardware (IS, AdBF), p. 1094.
DATEDATE-2002-TeichK #configuration management #finite #implementation #self #state machine
(Self-)reconfigurable Finite State Machines: Theory and Implementation (JT, MK), pp. 559–566.
SACSAC-2002-DAdderio #configuration management #retrieval #reuse
Configuring software, reconfiguring memories: the influence of integrated systems on knowledge storage, retrieval and reuse (LD), pp. 726–731.
ASEASE-2001-AndradeFW #automation #configuration management #policy
Enforcing Business Policies Through Automated Reconfiguration (LFA, JLF, MW), p. 426–?.
DACDAC-2001-BazarganOS #architecture #compilation #configuration management #design #physics #scheduling
Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures (KB, SO, MS), pp. 635–640.
DACDAC-2001-Bondalapati #architecture #configuration management #using
Parallelizing DSP Nested Loops on Reconfigurable Architectures using Data Context Switching (KB), pp. 273–276.
DACDAC-2001-SchaumontVKS #configuration management
A Quick Safari Through the Reconfiguration Jungle (PS, IV, KK, MS), pp. 172–177.
DATEDATE-2001-Hartenstein #configuration management
A decade of reconfigurable computing: a visionary retrospective (RWH), pp. 642–649.
DATEDATE-2001-HuangM #configuration management #design #network #using
Managing dynamic reconfiguration overhead in systems-on-a-chip design using reconfigurable datapaths and optimized interconnection networks (ZH, SM), p. 735.
DATEDATE-2001-NogueraB #algorithm #architecture #clustering #configuration management
A HW/SW partitioning algorithm for dynamically reconfigurable architectures (JN, RMB), p. 729.
DATEDATE-2001-OuaissV #configuration management #memory management #synthesis
Hierarchical memory mapping during synthesis in FPGA-based reconfigurable computers (IO, RV), pp. 650–657.
DACDAC-2000-BorosRP #configuration management #multi
High-level model of a WDMA passive optical bus for a reconfigurable multiprocessor system (VEB, ADR, SP), pp. 221–226.
DACDAC-2000-BoulisS #configuration management #design #hardware
System design of active basestations based on dynamically reconfigurable hardware (AB, MBS), pp. 501–506.
DACDAC-2000-LiCDHKS #architecture #co-evolution #configuration management #design #embedded
Hardware-software co-design of embedded reconfigurable architectures (YL, TC, ED, REH, UK, JS), pp. 507–512.
DACDAC-2000-SinghLFMLKB #case study #configuration management #multi #named
MorphoSys: case study of a reconfigurable computing system targeting multimedia applications (HS, GL, EMCF, RM, MHL, FJK, NB), pp. 573–578.
DATEDATE-2000-BenabdenebiMM #configuration management #named #scalability
CAS-BUS: A Scalable and Reconfigurable Test Access Mechanism for Systems on a Chip (MB, WM, MM), pp. 141–145.
DATEDATE-2000-EdwardsG #configuration management #design #object-oriented
An Object Oriented Design Method for Reconfigurable Computing Systems (ME, PG), pp. 692–696.
DATEDATE-2000-GanesanV00a #clustering #configuration management #design #latency
An Integrated Temporal Partitioning and Partial Reconfiguration Technique for Design Latency Improvement (SG, RV), pp. 320–325.
DATEDATE-2000-OuaissV #configuration management #performance
Efficient Resource Arbitration in Reconfigurable Computing Environments (IO, RV), pp. 560–566.
DATEDATE-2000-SilvaDM #configuration management #correlation #hardware #using
Mixed-Signal BIST Using Correlation and Reconfigurable Hardware (JMdS, JSD, JSM), p. 744.
TOOLSTOOLS-EUROPE-2000-JorgensenTJ #component #configuration management
Customization of Component-Based Object Request Brokers through Dynamic Reconfiguration (BNJ, ET, WJ), pp. 181–194.
SACSAC-2000-Dowell #configuration management #constraints #network #performance
Optimal Configuration of a Command and Control Network: Balancing Performance and Reconfiguration Constraints (LJD), pp. 94–98.
HPCAHPCA-2000-CasadoBQSD #configuration management #evaluation #network #performance
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks (RC, AB, FJQ, JLS, JD), pp. 85–96.
DACDAC-1999-AbramoviciSS #configuration management #hardware #satisfiability #using
A Massively-Parallel Easily-Scalable Satisfiability Solver Using Reconfigurable Hardware (MA, JTdS, DGS), pp. 684–690.
DACDAC-1999-AdarioRB #architecture #configuration management #image
Dynamically Reconfigurable Architecture for Image Processor Applications (AMSA, ELR, SB), pp. 623–628.
DACDAC-1999-DeHonW #automation #configuration management #design #what #why
Reconfigurable Computing: What, Why, and Implications for Design Automation (AD, JW), pp. 610–615.
DACDAC-1999-KaulVGO #approach #automation #clustering #configuration management #synthesis
An Automated Temporal Partitioning and Loop Fission Approach for FPGA Based Reconfigurable Synthesis of DSP Applications (MK, RV, SG, IO), pp. 616–622.
DACDAC-1999-KocanS #configuration management #fault #hardware
Dynamic Fault Diagnosis on Reconfigurable Hardware (FK, DGS), pp. 691–696.
DATEDATE-1999-AlippiFPS #approach #configuration management #design
A DAG-Based Design Approach for Reconfigurable VLIW Processors (CA, WF, LP, MS), pp. 778–779.
DATEDATE-1999-Dav #configuration management #distributed #embedded #hardware #named #realtime
CRUSADE: Hardware/Software Co-Synthesis of Dynamically Reconfigurable Heterogeneous Real-Time Distributed Embedded Systems (BPD), pp. 97–104.
DATEDATE-1999-FleischmannBK #component #configuration management #embedded #hardware #java
Codesign of Embedded Systems Based on Java and Reconfigurable Hardware Components (JF, KB, RK), pp. 768–769.
DATEDATE-1999-KaulV #clustering #design #latency #runtime
Temporal Partitioning combined with Design Space Exploration for Latency Minimization of Run-Time Reconfigured Designs (MK, RV), pp. 202–209.
DATEDATE-1999-MaestreKBSHF #configuration management #kernel #scheduling
Kernel Scheduling in Reconfigurable Computing (RM, FJK, NB, HS, RH, MF), pp. 90–96.
TACASTACAS-1999-Kreitz #automation #communication #configuration management
Automated Fast-Track Reconfiguration of Group Communication Systems (CK), pp. 104–118.
TOOLSTOOLS-EUROPE-1999-Pellegrini #configuration management #corba
Dynamic Reconfiguration of Corba-Based Applications (MCP), pp. 329–340.
ESECESEC-FSE-1999-WermelingerF #algebra #architecture #configuration management
Algebraic Software Architecture Reconfiguration (MW, JLF), pp. 393–409.
HPDCHPDC-1999-UengSLL #configuration management #distributed #memory management #named #runtime
Proteus: A Runtime Reconfigurable Distributed Shared Memory System (JCU, CKS, WHL, CCL), pp. 347–348.
DACDAC-1998-BauerBKV #configuration management #logic #performance #simulation
A Reconfigurable Logic Machine for Fast Event-Driven Simulation (JB, MB, IK, PV), pp. 668–671.
DACDAC-1998-ZhongAMM #case study #configuration management #problem #satisfiability #using
Using Reconfigurable Computing Techniques to Accelerate Problems in the CAD Domain: A Case Study with Boolean Satisfiability (PZ, PA, SM, MM), pp. 194–199.
DATEDATE-1998-KaulV #architecture #clustering #configuration management #synthesis
Optimal Temporal Partitioning and Synthesis for Reconfigurable Architectures (MK, RV), pp. 389–396.
DATEDATE-1998-Neely #configuration management #logic
Reconfigurable Logic for Systems on a Chip (WSN), p. 340.
DATEDATE-1998-RabaeyW #configuration management #energy
An Energy-Conscious Exploration Methodology for Reconfigurable DSPs (JMR, MW), pp. 341–342.
CSMRCSMR-1998-JensenH #configuration management #reuse
Protection Reconfiguration for Reusable Software (CDJ, DH), pp. 74–81.
SACSAC-1998-Kimm #2d #configuration management #pipes and filters #problem
Two dimensional maximal elements problem on a reconfigurable optical pipelined bus system (HK), pp. 623–627.
HPDCHPDC-1998-DongarraFGKPSSM #adaptation #configuration management #named
HARNESS: Heterogeneous Adaptable Reconfigurable NEtworked SystemS (JD, GEF, AG, JAK, PMP, SLS, VSS, MM), pp. 358–359.
DATEEDTC-1997-Lee #configuration management
Reconfigurable data converter as a building block for mixed-signal test (EKFL), pp. 359–363.
HPCAHPCA-1996-ElGindySSSS #configuration management #multi #named #network
RMB — A Reconfigurable Multiple Bus Network (HAE, AKS, HS, HS, AS), pp. 108–117.
SACSAC-1995-GandolfiGMR #configuration management #design #fuzzy
Design of a VLSI very high speed reconfigurable digital fuzzy processor (EG, AG, MM, MR), pp. 477–481.
HPDCHPDC-1995-DowdPCHC #clustering #configuration management #named #network #scalability
LIGHTNING: A Scalable Dynamically Reconfigurable Hierarchical WDM Network for High-Performance Clustering (PWD, JAP, JCC, DCH, DC), pp. 220–229.
ICGTTAGT-1994-DerkD #configuration management #fault tolerance #graph grammar #parallel
Reconfiguration Graph Grammar for Massively Parallel, Fault Tolerant Computers (MDD, LSD), pp. 185–195.
CIKMCIKM-1994-WoodsMFK #architecture #configuration management #information retrieval #parallel
A Case for Reconfigurable Parallel Architectures for Information Retrieval (WAW, HDM, OF, PBK), pp. 57–63.
STOCSTOC-1993-ColeMS #array #configuration management #fault #multi #self
Multi-scale self-simulation: a technique for reconfiguring arrays with faults (RC, BMM, RKS), pp. 561–572.
ICALPICALP-1991-Ben-AsherPRS #configuration management #power of
The POwer of Reconfiguration (YBA, DP, RR, AS), pp. 139–150.
AdaEuropeAdaEurope-1991-LevyRT #ada #configuration management #distributed
Reconfigurable Ada Distributed Control System Software (DCL, MCR, JLT), pp. 279–302.
PPoPPPPoPP-1991-FeeleyBCL #configuration management
Dynamic Node Reconfiguration in a Parallel-Distributed Environment (MJF, BNB, JSC, HML), pp. 114–121.
SOSPSOSP-1991-RodehefferS #automation #configuration management
Automatic Reconfiguration in Autonet (TLR, MDS), pp. 183–197.
DACDAC-1989-HwangWF #architecture #configuration management #evaluation #using
Evaluation of a Reconfigurable Architecture for Digital Beamforming Using the OODRA Workbench (DLH, TLW, WKF), pp. 614–617.
DACDAC-1988-KuoF #configuration management #scalability
Spare Allocation and Reconfiguration in Large Area VLSI (SYK, WKF), pp. 609–612.
STOCSTOC-1987-HastadLN #configuration management #fault
Reconfiguring a Hypercube in the Presence of Faults (Extended Abstract) (JH, FTL, MN), pp. 274–284.
DACDAC-1986-KuoF #array #configuration management #performance
Efficient spare allocation in reconfigurable arrays (SYK, WKF), pp. 385–390.
LISPLFP-1986-White #case study #configuration management #lisp #performance
Reconfigurable, Retargetable Bignums: A Case Study in Efficient, Portable Lisp System Building (JLW), pp. 174–191.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.