BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
optim (46)
base (46)
algorithm (45)
driven (31)
time (30)

Stem placement$ (all stems)

348 papers:

DACDAC-2015-BockHKS #algorithm #modelling
Local search algorithms for timing-driven placement under arbitrary delay models (AB, SH, NK, US), p. 6.
DACDAC-2015-ChenC #architecture
Routing-architecture-aware analytical placement for heterogeneous FPGAs (SYC, YWC), p. 6.
DACDAC-2015-ChenSZDJ #optimisation
Optimizing data placement for reducing shift operations on domain wall memories (XC, EHMS, QZ, PD, WJ), p. 6.
DACDAC-2015-LiuKDK #data access #network #reduction
Network footprint reduction through data access and computation placement in NoC-based manycores (JL, JK, WD, MTK), p. 6.
DACDAC-2015-LiuSZLQ #generative #statistics
A statistical methodology for noise sensor placement and full-chip voltage map generation (XL, SS, PZ, XL, HQ), p. 6.
DACDAC-2015-OuTC #self
Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithography (HCO, KHT, YWC), p. 6.
DACDAC-2015-OuTLWC
Layout-dependent-effects-aware analytical analog placement (HCO, KHT, JYL, IPW, YWC), p. 6.
DATEDATE-2015-LuLJLHCL #standard
Simultaneous transistor pairing and placement for CMOS standard cells (AL, HJL, EJJ, YPL, CHH, CCC, RBL), pp. 1647–1652.
VLDBVLDB-2015-PsaroudakisSMSA #adaptation #concurrent #in memory #scalability #towards
Scaling Up Concurrent Main-Memory Column-Store Scans: Towards Adaptive NUMA-aware Data and Task Placement (IP, TS, NM, AS, AA), pp. 1442–1453.
SACSAC-2015-KatsalisSPKT #network
Content placement in heterogeneous end-to-end virtual networks (KK, VS, TP, TK, LT), pp. 602–608.
ASPLOSASPLOS-2015-AgarwalNSOK #memory management
Page Placement Strategies for GPUs within Heterogeneous Memory Systems (NA, DWN, MS, MO, SWK), pp. 607–618.
CGOCGO-2015-LiYLZ #automation #gpu #memory management
Automatic data placement into GPU on-chip memory resources (CL, YY, ZL, HZ), pp. 23–33.
PPoPPPPoPP-2015-McPhersonNSC #detection #legacy #source code
Fence placement for legacy data-race-free programs via synchronization read detection (AJM, VN, SS, MC), pp. 249–250.
DACDAC-2014-ChenHCCW #metaprogramming
Routability-Driven Blockage-Aware Macro Placement (YFC, CCH, CHC, YWC, CJW), p. 6.
DACDAC-2014-LuCCSHTC #named #using
ePlace: Electrostatics Based Placement Using Nesterov’s Method (JL, PC, CCC, LS, DJHH, CCT, CKC), p. 6.
DACDAC-2014-PopovychLWLLW
Density-aware Detailed Placement with Instant Legalization (SP, HHL, CMW, YLL, WHL, TCW), p. 6.
DACDAC-2014-WangMZSS
Walking Pads: Managing C4 Placement for Transient Voltage Noise Minimization (KW, BHM, RZ, MRS, KS), p. 6.
DACDAC-2014-XiangQZLYSL #generative
Row Based Dual-VDD Island Generation and Placement (HX, HQ, CZ, YSL, FY, AS, PFL), p. 6.
DATEDATE-2014-AlamPTSN #android #energy #optimisation
Energy optimization in Android applications through wakelock placement (FA, PRP, NT, NS, SN), pp. 1–4.
DATEDATE-2014-ChenWP #capacity #concurrent #distributed #framework
Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure (SC, YW, MP), pp. 1–6.
DATEDATE-2014-DuW #optimisation #process #standard
Optimization of standard cell based detailed placement for 16 nm FinFET process (YD, MDFW), pp. 1–6.
DATEDATE-2014-KahngK #logic #memory management #scheduling
Co-optimization of memory BIST grouping, test scheduling, and logic placement (ABK, IK), pp. 1–6.
DATEDATE-2014-SarmaD #estimation #network #runtime
Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking (SS, ND), pp. 1–6.
DATEDATE-2014-TsaiCCC #3d #configuration management #memory management #multi
Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs (MLT, YJC, YTC, RHC), pp. 1–6.
HPCAHPCA-2014-WangJXSX #adaptation #hybrid #migration #policy
Adaptive placement and migration policy for an STT-RAM-based hybrid cache (ZW, DAJ, CX, GS, YX), pp. 13–24.
HPDCHPDC-2014-PrisacariRHCMH #nearest neighbour #network #performance
Efficient task placement and routing of nearest neighbor exchanges in dragonfly networks (BP, GR, PH, DC, CM, TH), pp. 129–140.
DACDAC-2013-ChienOCKC
Double patterning lithography-aware analog placement (HCCC, HCO, TCC, TYK, YWC), p. 6.
DACDAC-2013-HeHCKLCY #integration #quality
Ripple 2.0: high quality routability-driven placement via global router integration (XH, TH, WKC, JK, KCL, WC, EFYY), p. 6.
DACDAC-2013-HsuCHCC #design
Routability-driven placement for hierarchical mixed-size circuit designs (MKH, YFC, CCH, TCC, YWC), p. 6.
DACDAC-2013-LiuKL #optimisation
Optimization of placement solutions for routability (WHL, CKK, YLL), p. 9.
DACDAC-2013-OuCC
Simultaneous analog placement and routing with current flow and current density considerations (HCO, HCCC, YWC), p. 6.
DACDAC-2013-YeYSJX #generative
Post-placement voltage island generation for timing-speculative circuits (RY, FY, ZS, WBJ, QX), p. 6.
DATEDATE-2013-LiuLC #algorithm
A network-flow based algorithm for power density mitigation at post-placement stage (SYSL, RGL, HMC), pp. 1707–1710.
DATEDATE-2013-RayB #performance
An efficient wirelength model for analytical placement (BNBR, SB), pp. 1711–1714.
DATEDATE-2013-Struzyna #polynomial
Sub-quadratic objectives in quadratic placement (MS), pp. 1867–1872.
DATEDATE-2013-WangTSL #algorithm
A power-driven thermal sensor placement algorithm for dynamic thermal management (HW, SXDT, SS, XL), pp. 1215–1220.
DATEDATE-2013-ZhouMS #locality #optimisation #power management
Placement optimization of power supply pads based on locality (PZ, VM, SSS), pp. 1655–1660.
SIGMODSIGMOD-2013-ElmoreDPAAY #behaviour #multitenancy
Characterizing tenant behavior for placement and crisis mitigation in multitenant DBMSs (AJE, SD, AP, DA, AEA, XY), pp. 517–528.
SIGMODSIGMOD-2013-SchaffnerJKKPFJ #clustering #database #in memory #named #robust
RTP: robust tenant placement for elastic in-memory database clusters (JS, TJ, MK, TK, HP, MJF, DJ), pp. 773–784.
VLDBVLDB-2013-HuaiMLO0 #clustering #comprehension
Understanding Insights into the Basic Structure and Essential Issues of Table Placement Methods in Clusters (YH, SM, RL, OO, XZ), pp. 1750–1761.
PASTEPASTE-2013-YasugiMU #evaluation #performance
A proper performance evaluation system that summarizes code placement effects (MY, YM, TU), pp. 41–48.
KDDKDD-2013-ErdosIBT #network
Repetition-aware content placement in navigational networks (DE, VI, AB, ET), pp. 820–828.
KDDKDD-2013-KaramshukNSNM #mining #named #online
Geo-spotting: mining online location-based services for optimal retail store placement (DK, AN, SS, VN, CM), pp. 793–801.
POPLPOPL-2013-LivshitsC #automation #classification #security #towards
Towards fully automatic placement of security sanitizers and declassifiers (BL, SC), pp. 385–398.
SACSAC-2013-FlushingC #network #optimisation
A flow-based optimization model for throughput-oriented relay node placement in wireless sensor networks (EFF, GADC), pp. 632–639.
SACSAC-2013-YeLZ #correlation #named
GCplace: geo-cloud based correlation aware data replica placement (ZY, SL, XZ), pp. 371–376.
ASPLOSASPLOS-2013-DashtiFFGLLQR #approach #memory management
Traffic management: a holistic approach to memory placement on NUMA systems (MD, AF, JRF, FG, RL, BL, VQ, MR), pp. 381–394.
LCTESLCTES-2013-MehiaouiWPMNZBLG #clustering #distributed #optimisation
A two-step optimization technique for functions placement, partitioning, and priority assignment in distributed systems (AM, EW, STP, CM, MDN, HZ, JPB, LL, SG), pp. 121–132.
ICSTSAT-2013-MihalT #approach #constraints #logic #programmable
A Constraint Satisfaction Approach for Programmable Logic Detailed Placement (AM, ST), pp. 208–223.
DACDAC-2012-AthikulwongsePL #3d
Exploiting die-to-die thermal coupling in 3D IC placement (KA, MP, SKL), pp. 741–746.
DACDAC-2012-ChouHC #design
Structure-aware placement for datapath-intensive circuit designs (SC, MKH, YWC), pp. 762–767.
DACDAC-2012-LiuH12a #named #optimisation
ComPLx: A Competitive Primal-dual Lagrange Optimization for Global Placement (MCK, ILM), pp. 747–752.
DACDAC-2012-RanieriVCAV #algorithm #manycore #named
EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors (JR, AV, AC, DA, MV), pp. 636–641.
DACDAC-2012-ViswanathanASLW #benchmark #contest #metric
The DAC 2012 routability-driven placement contest and benchmark suite (NV, CJA, CCNS, ZL, YW), pp. 774–782.
DACDAC-2012-WeiLKP #benchmark #hardware #metric
Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry (SW, KL, FK, MP), pp. 90–95.
VLDBVLDB-2012-ErdosILTB #multi #problem
The Filter-Placement Problem and its Application to Minimizing Information Multiplicity (DE, VI, AL, ET, AB), pp. 418–429.
ESOPESOP-2012-HawkinsAFRS #reasoning
Reasoning about Lock Placements (PH, AA, KF, MCR, MS), pp. 336–356.
ICEISICEIS-J-2012-GeJG #analysis #recommendation
Bringing Diversity to Recommendation Lists — An Analysis of the Placement of Diverse Items (MG, DJ, FG), pp. 293–305.
ICEISICEIS-v2-2012-GeJGH #recommendation
Effects of the Placement of Diverse Items in Recommendation Lists (MG, DJ, FG, MH), pp. 201–208.
CGOCGO-2012-MajoG #data access #memory management
Matching memory access patterns and data placement for NUMA systems (ZM, TRG), pp. 230–241.
ICLPICLP-2012-Peano #approach
An ASP Approach for the Optimal Placement of the Isolation Valves in a Water Distribution System (AP), pp. 464–468.
CASECASE-2011-Aguayo-LaraRR #invariant #petri net
Invariant subspaces and sensor placement for observability in Continuous Timed Petri Nets (EAL, ART, JJRL), pp. 607–612.
DACDAC-2011-CongLS #3d
Thermal-aware cell and through-silicon-via co-placement for 3D ICs (JC, GL, YS), pp. 670–675.
DACDAC-2011-HsuCB #3d #design
TSV-aware analytical placement for 3D IC designs (MKH, YWC, VB), pp. 664–669.
DACDAC-2011-LinLCHC #random
Common-centroid capacitor placement considering systematic and random mismatches in analog integrated circuits (CWL, JML, YCC, CPH, SJC), pp. 528–533.
DATEDATE-2011-ChenY #design
Timing-constrained I/O buffer placement for flip-chip designs (ZWC, JTY), pp. 619–624.
DATEDATE-2011-HuangHL #fault
Cross-layer optimized placement and routing for FPGA soft error mitigation (KH, YH, XL), pp. 58–63.
DATEDATE-2011-Struzyna #clustering #constraints
Flow-based partitioning and position constraints in VLSI placement (MS), pp. 607–612.
VLDBVLDB-2011-EltabakhTOGKM #flexibility #named
CoHadoop: Flexible Data Placement and Its Exploitation in Hadoop (MYE, YT, , RG, AK, JM), pp. 575–585.
MLDMMLDM-2011-PerezR #array #detection #using
Detection of Phenotypes in Microarray Data Using Force- Directed Placement Transformss (DVP, KAR), pp. 320–334.
HPDCHPDC-2011-YigitbasiMPE #incremental #interactive
Incremental placement of interactive perception applications (NY, LBM, PP, DHJE), pp. 123–134.
ICLPICLP-J-2011-CattafiGNAF #network
Optimal placement of valves in a water distribution network with CLP(FD) (MC, MG, MN, SA, MF), pp. 731–747.
DACDAC-2010-ChuangKSC #optimisation
Pulsed-latch aware placement for timing-integrity optimization (YLC, SK, YS, YWC), pp. 280–285.
DACDAC-2010-LinLHC #bound #constraints
Performance-driven analog placement considering boundary constraint (CWL, JML, CPH, SJC), pp. 292–297.
DATEDATE-2010-BsoulMS #process
Reliability- and process variation-aware placement for FPGAs (AAMB, NM, LS), pp. 1809–1814.
DATEDATE-2010-LiuNCMP #reduction
Post-placement temperature reduction techniques (WL, AN, AC, EM, MP), pp. 634–637.
DATEDATE-2010-SterponeB #algorithm #multi
A new placement algorithm for the mitigation of multiple cell upsets in SRAM-based FPGAs (LS, NB), pp. 1231–1236.
ESOPESOP-2010-KingJMJJS #automation #security
Automating Security Mediation Placement (DK, SJ, DM, TJ, SJ, SAS), pp. 327–344.
ASPLOSASPLOS-2010-SudanCNABD #named #performance
Micro-pages: increasing DRAM efficiency with locality-aware data placement (KS, NC, DWN, MA, RB, AD), pp. 219–230.
ISMMISMM-2010-BegB #approach #graph
A graph theoretic approach to cache-conscious placement of data for direct mapped caches (MB, PvB), pp. 113–120.
PPoPPPPoPP-2010-BuehrerPT #distributed
A distributed placement service for graph-structured and tree-structured data (GB, SP, ST), pp. 355–356.
DACDAC-2009-ChakrabortyKP #framework #named #open source #quality
RegPlace: a high quality open-source placement framework for structured ASICs (AC, AK, DZP), pp. 442–447.
DACDAC-2009-JiangHCC #multi
Spare-cell-aware multilevel analytical placement (ZWJ, MKH, YWC, KYC), pp. 430–435.
DACDAC-2009-LinZWC
Thermal-driven analog placement considering device matching (MPHL, HZ, MDFW, YWC), pp. 593–598.
DACDAC-2009-YanVC #scalability
Handling complexities in modern large-scale mixed-size placement (JZY, NV, CC), pp. 436–441.
DATEDATE-2009-ChiangOY
Register placement for high-performance circuits (MFC, TO, TY), pp. 1470–1475.
DATEDATE-2009-MohammadZadehMJZ #multi #network
Multi-domain clock skew scheduling-aware register placement to optimize clock distribution network (NM, MM, AJ, MSZ), pp. 833–838.
DATEDATE-2009-NagarajK #case study #process
A study on placement of post silicon clock tuning buffers for mitigating impact of process variation (KN, SK), pp. 292–295.
VLDBVLDB-2009-CanimBMLR #using
An Object Placement Advisor for DB2 Using Solid State Storage (MC, BB, GAM, CAL, KAR), pp. 1318–1329.
CHICHI-2009-EgelmanTCA #online #privacy
Timing is everything?: the effects of timing and placement of online privacy indicators (SE, JYT, LFC, AA), pp. 319–328.
KEODKEOD-2009-Essert-VillardBS #approach #multi #semantics #towards
Multi-semantic Approach Towards a Generic Formal Solver of Tool Placement for Percutaneous Surgery (CEV, CB, PS), pp. 443–446.
HPCAHPCA-2009-AwasthiSBC #capacity #scalability
Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches (MA, KS, RB, JBC), pp. 250–261.
ISMMISMM-2009-SerranoZ #garbage collection #optimisation #using
Placement optimization using data context collected during garbage collection (MJS, XZ), pp. 69–78.
PPoPPPPoPP-2009-NicolauLK #performance
Techniques for efficient placement of synchronization primitives (AN, GL, AK), pp. 199–208.
CASECASE-2008-AnisHM #array #automation
Automated vision-based selection and placement of single cells in microwell array formats (YHA, MH, DRM), pp. 315–320.
DACDAC-2008-BharathES #algorithm #automation #search-based #using
Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM (KB, EE, MS), pp. 560–565.
DACDAC-2008-ChenCP #framework
An integrated nonlinear placement framework with congestion and porosity aware buffer planning (TCC, AC, DZP), pp. 702–707.
DACDAC-2008-EguroH #pipes and filters
Enhancing timing-driven FPGA placement for pipelined netlists (KE, SH), pp. 34–37.
DACDAC-2008-JeongKPY #power management #reduction
Dose map and placement co-optimization for timing yield enhancement and leakage power reduction (KJ, ABK, CHP, HY), pp. 516–521.
DACDAC-2008-JiangSC #design #scalability
Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs (ZWJ, BYS, YWC), pp. 167–172.
DACDAC-2008-LinL #clustering
Analog placement based on hierarchical module clustering (MPHL, SCL), pp. 50–55.
DATEDATE-2008-JonesBBCO #compilation #energy
Instruction Cache Energy Saving Through Compiler Way-Placement (TMJ, SB, BDB, JC, MFPO), pp. 1196–1201.
DATEDATE-2008-MarconiLBG #algorithm #configuration management #online
Intelligent Merging Online Task Placement Algorithm for Partial Reconfigurable Systems (TM, YL, KB, GG), pp. 1346–1351.
DATEDATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
ICALPICALP-B-2008-NeubauerT #calculus
Placement Inference for a Client-Server Calculus (MN, PT), pp. 75–86.
CHICHI-2008-AshbrookCLSP #named
Quickdraw: the impact of mobility and on-body placement on device access time (DA, JC, KL, TS, NJP), pp. 219–222.
FSEFSE-2008-StylosM #api
The implications of method placement on API learnability (JS, BAM), pp. 105–112.
HPDCHPDC-2008-CarreraSWTA #using
Managing SLAs of heterogeneous workloads using dynamic application placement (DC, MS, IW, JT, EA), pp. 217–218.
LCTESLCTES-2008-SutterCAM #array #configuration management
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays (BDS, PC, TVA, BM), pp. 151–160.
CASECASE-2007-MattikalliFFLT
Optimal Sensor Selection and Placement for Perimeter Defense (RSM, RDF, PDF, SL, PZT), pp. 911–918.
DACDAC-2007-ChenYCHL #algorithm #design #metaprogramming #named
MP-trees: A Packing-Based Macro Placement Algorithm for Mixed-Size Designs (TCC, PHY, YWC, FJH, DL), pp. 447–452.
DACDAC-2007-GoplenS #3d
Placement of 3D ICs with Thermal and Interlayer Via Considerations (BG, SSS), pp. 626–631.
DACDAC-2007-LinL #novel #symmetry
Analog Placement Based on Novel Symmetry-Island Formulation (MPHL, SCL), pp. 465–470.
DACDAC-2007-MaslovFM #empirical #interactive #optimisation #physics #quantum
Quantum Circuit Placement: Optimizing Qubit-to-qubit Interactions through Mapping Quantum Circuits into a Physical Experiment (DM, SMF, MM), pp. 962–965.
DACDAC-2007-PanC #algorithm #named
IPR: An Integrated Placement and Routing Algorithm (MP, CCNC), pp. 59–62.
DACDAC-2007-ViswanathanNAVRC #named #polynomial
RQL: Global Placement via Relaxed Quadratic Spreading and Linearization (NV, GJN, CJA, PV, HR, CCNC), pp. 453–458.
DACDAC-2007-WuW #detection #incremental
Improving Voltage Assignment by Outlier Detection and Incremental Placement (HW, MDFW), pp. 459–464.
DATEDATE-2007-AzzoniBDFGV #optimisation
Yield-aware placement optimization (PA, MB, ND, FF, CG, WV), pp. 1232–1237.
DATEDATE-2007-SpindlerJ #estimation #performance
Fast and accurate routing demand estimation for efficient routability-driven placement (PS, FMJ), pp. 1226–1231.
DocEngDocEng-2007-MarriottMH #automation #documentation #float #multi
Automatic float placement in multi-column documents (KM, PM, NH), pp. 125–134.
VLDBVLDB-2007-LangBMW #multi
Increasing Buffer-Locality for Multiple Index Based Scans through Intelligent Placement and Index Scan Speed Control (CAL, BB, TM, KW), pp. 1298–1309.
SACSAC-2007-KimKK #energy #mobile
Energy-efficient disk replacement and file placement techniques for mobile systems with hard disks (YJK, KTK, JK), pp. 693–698.
DACDAC-2006-GopalakrishnanLP #architecture #metric #using
Architecture-aware FPGA placement using metric embedding (PG, XL, LTP), pp. 460–465.
DACDAC-2006-LuoNP #design #incremental #performance
A new LP based incremental timing driven placement for high performance designs (TL, DN, DZP), pp. 1115–1120.
DACDAC-2006-MukherjeeM
Systematic temperature sensor allocation and placement for microprocessors (RM, SOM), pp. 542–547.
DACDAC-2006-ShamYC
Optimal cell flipping in placement and floorplanning (CWS, EFYY, CCNC), pp. 1109–1114.
DACDAC-2006-YuhYC #using
Placement of digital microfluidic biochips using the t-tree formulation (PHY, CLY, YWC), pp. 931–934.
DATEDATE-2006-KahngPSW #lens
Lens aberration aware timing-driven placement (ABK, CHP, PS, QW), pp. 890–895.
DATEDATE-2006-Stanley-MarbellLR #adaptation #concurrent #embedded #library #multi #thread
Adaptive data placement in an embedded multiprocessor thread library (PSM, KL, AR), pp. 698–699.
DATEDATE-2006-VenkataramanHLS #optimisation
Integrated placement and skew optimization for rotary clocking (GV, JH, FL, CCNS), pp. 756–761.
ICEISICEIS-DISI-2006-FuginiMN
Supporting E-Placement: Achievements in the Italian Workfare Project (MF, PM, KN), pp. 245–250.
HPCAHPCA-2006-VenkatesanHR #agile
Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM (RKV, SH, ER), pp. 155–165.
PPoPPPPoPP-2006-MaratheM #automation #hardware
Hardware profile-guided automatic page placement for ccNUMA systems (JM, FM), pp. 90–99.
DACDAC-2005-BrennerS #algorithm #performance
Faster and better global placement by a new transportation algorithm (UB, MS), pp. 591–596.
DACDAC-2005-CheonHKRW #power management
Power-aware placement (YC, PHH, ABK, SR, QW), pp. 795–800.
DACDAC-2005-ChowdharyRVCTPH #how #question
How accurately can we model timing in a placement engine? (AC, KR, SV, TC, VT, YP, BH), pp. 801–806.
DACDAC-2005-EguroHS #adaptation #architecture
Architecture-adaptive range limit windowing for simulated annealing FPGA placement (KE, SH, AS), pp. 439–444.
DACDAC-2005-Goldfeder #embedded #multi
Frequency-based code placement for embedded multiprocessors (CG), pp. 696–699.
DACDAC-2005-GoplenSS
Net weighting to reduce repeater counts during placement (BG, PS, SSS), pp. 503–508.
DACDAC-2005-LuSHZCHH #navigation #network
Navigating registers in placement for clock network minimization (YL, CCNS, XH, QZ, YC, LH, JH), pp. 176–181.
DACDAC-2005-RenPAV #migration
Diffusion-based placement migration (HR, DZP, CJA, PV), pp. 515–520.
DACDAC-2005-SuC05a #synthesis
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips (FS, KC), pp. 825–830.
DACDAC-2005-XiuR
Timing-driven placement by grid-warping (ZX, RAR), pp. 585–591.
DATEDATE-2005-BadaouiV #multi #performance #synthesis
Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis (RFB, RV), pp. 138–143.
DATEDATE-2005-VorwerkK #framework #multi
An Improved Multi-Level Framework for Force-Directed Placement (KV, AAK), pp. 902–907.
PODSPODS-2005-SrivastavaMW #query
Operator placement for in-network stream query processing (US, KM, JW), pp. 250–258.
VLDBVLDB-2005-LiBRHN #data access #information management
QoS-based Data Access and Placement for Federated Information Systems (WSL, VSB, VR, WH, IN), pp. 1358–1362.
PLDIPLDI-2005-Jimenez #branch #predict
Code placement for improving dynamic branch prediction accuracy (DAJ), pp. 107–116.
ICMLICML-2005-GuestrinKS #process
Near-optimal sensor placements in Gaussian processes (CG, AK, APS), pp. 265–272.
ECOOPECOOP-2005-PistoiaFKS #analysis #detection #interprocedural
Interprocedural Analysis for Privileged Code Placement and Tainted Variable Detection (MP, RJF, LK, VCS), pp. 362–386.
SACSAC-2005-JafariDCS #network #performance
Efficient placement and routing in grid-based networks (RJ, FD, BKC, MS), pp. 899–900.
CGOCGO-2005-RavindranNDMSMB #compilation #power management
Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache (RAR, PDN, GSD, EDM, RMS, SAM, RBB), pp. 179–190.
PPoPPPPoPP-2005-DarteS #algorithm #linear
A linear-time algorithm for optimal barrier placement (AD, RS), pp. 26–35.
DACDAC-2004-HandaV #algorithm #online #performance
An efficient algorithm for finding empty space for online FPGA placement (MH, RV), pp. 960–965.
DACDAC-2004-HrkicLB #approach #logic #replication
An approach to placement-coupled logic replication (MH, JL, GB), pp. 711–716.
DACDAC-2004-KahngR #concept #feedback
Placement feedback: a concept and method for better min-cut placements (ABK, SR), pp. 357–362.
DACDAC-2004-LiYYYL #adaptation #algorithm #design #representation #using
A packing algorithm for non-manhattan hexagon/triangle placement design by using an adaptive o-tree representation (JL, TY, BY, JY, CL), pp. 646–651.
DACDAC-2004-ObermeierJ #polynomial #using
Quadratic placement using an improved timing model (BO, FMJ), pp. 705–710.
DACDAC-2004-SaxenaH #modelling
Modeling repeaters explicitly within analytical placement (PS, BH), pp. 699–704.
DACDAC-2004-VujkovicWSS #performance
Efficient timing closure without timing driven placement and routing (MV, DW, WS, CS), pp. 268–273.
DACDAC-2004-XiuMFR #scalability
Large-scale placement by grid-warping (ZX, JDZM, SMF, RAR), pp. 351–356.
DACDAC-2004-ZhaoFZSP #power management
Optimal placement of power supply pads and pins (MZ, YF, VZ, SS, RP), pp. 165–170.
DATEDATE-DF-2004-Auletta
Expert System Perimeter Block Placement Floorplanning (RA), pp. 140–143.
DATEDATE-v1-2004-HandaV #algorithm #performance
A Fast Algorithm for Finding Maximal Empty Rectangles for Dynamic FPGA Placement (MH, RV), pp. 744–745.
DATEDATE-v2-2004-KahngMR #named
Boosting: Min-Cut Placement with Improved Signal Delay (ABK, ILM, SR), pp. 1098–1103.
DATEDATE-v2-2004-OlbrichB #locality #probability #using
Placement Using a Localization Probability Model (LPM) (MO, EB), p. 1412.
SACSAC-2004-BuchholzB #adaptation #network
Replica placement in adaptive content distribution networks (SB, TB), pp. 1705–1710.
SACSAC-2004-DaescuJRS #deployment #multi #reliability
Optimal placement of NAK-suppressing agents for reliable multicast: a partial deployment case (OD, RJ, BR, KS), pp. 334–338.
DACDAC-2003-BeraudoL #logic #optimisation #replication
Timing optimization of FPGA placements by logic replication (GB, JL), pp. 196–201.
DACDAC-2003-ChenCCKMSYZ #algebra #clustering #layout #multi
An algebraic multigrid solver for analytical placement with layout based clustering (HC, CKC, NCC, ABK, JFM, PS, BY, ZZ), pp. 794–799.
DACDAC-2003-CongY #multi
Multilevel global placement with retiming (JC, XY), pp. 208–213.
DACDAC-2003-HuM #clustering #predict
Wire length prediction based clustering and its application in placement (BH, MMS), pp. 800–805.
DACDAC-2003-LeeCHY #multi #scalability #using
Multilevel floorplanning/placement for large-scale modules using B*-trees (HCL, YWC, JMH, HHY), pp. 812–817.
DACDAC-2003-MaideeAB #clustering #performance
Fast timing-driven partitioning-based placement for island style FPGAs (PM, CA, KB), pp. 598–603.
DACDAC-2003-YehM
Delay budgeting in sequential circuit with application on FPGA placement (CYY, MMS), pp. 202–207.
DATEDATE-2003-SeidlEJ #using
Performance-Directed Retiming for FPGAs Using Post-Placement Delay Information (US, KE, FMJ), pp. 10770–10777.
VLDBVLDB-2003-YuAA #relational
Tabular Placement of Relational Data on MEMS-based Storage Devices (HY, DA, AEA), pp. 680–693.
DACDAC-2002-ZhongD #algorithm #constraints #multi #optimisation
Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control (KZ, SD), pp. 854–859.
DATEDATE-2002-MacchiaruloMP #energy
Wire Placement for Crosstalk Energy Minimization in Address Buses (LM, EM, MP), pp. 158–162.
DATEDATE-2002-SulimmaKNV #constant
Improving Placement under the Constant Delay Model (KS, WK, IN, LPPPvG), pp. 677–682.
DATEDATE-2002-VicenteLH #combinator #optimisation
FPGA Placement by Thermodynamic Combinatorial Optimization (JdV, JL, RH), pp. 54–60.
ICSMEICSM-2002-HarmanHHMZDOW #algorithm
A Post-Placement Side-Effect Removal Algorithm (MH, LH, RMH, MM, XZ, JJD, MCO, JW), pp. 2–11.
SASSAS-2002-Faxen #analysis #representation
Representation Analysis for Coercion Placement (KFF), pp. 278–293.
ICEISICEIS-2002-CarballoDC #architecture #internet
Thin Servers — An Architecture to Support Arbitrary Placement of Computation in the Internet (JCDyC, AD, RCHC), pp. 1080–1085.
POPLPOPL-2002-PetrankR
The hardness of cache conscious data placement (EP, DR), pp. 101–112.
DACDAC-2001-HalpinCS #constraints #physics #using
Timing Driven Placement using Physical Net Constraints (BH, CYRC, NS), pp. 780–783.
DACDAC-2001-YildizM #clustering #sequence
Improved Cut Sequences for Partitioning Based Placement (MCY, PHM), pp. 776–779.
DATEDATE-2001-FeketeKT #constraints #precedence
Optimal FPGA module placement with temporal precedence constraints (SPF, EK, JT), pp. 658–667.
DATEDATE-2001-Parameswaran #hardware #performance
Code placement in hardware/software co-synthesis to improve performance and reduce cost (SP), pp. 626–632.
DATEDATE-2001-SerdarS #automation
Automatic datapath tile placement and routing (TS, CS), pp. 552–559.
DACDAC-2000-CaldwellKM #question #recursion
Can recursive bisection alone produce routable placements? (AEC, ABK, ILM), pp. 477–482.
DACDAC-2000-ChangC #architecture #metric
An architecture-driven metric for simultaneous placement and global routing for FPGAs (YWC, YTC), pp. 567–572.
DACDAC-2000-ChangCSM #detection #functional #performance #symmetry #using
Fast post-placement rewiring using easily detectable functional symmetries (CWJC, CKC, PS, MMS), pp. 286–289.
DACDAC-2000-OuP #clustering
Timing-driven placement based on partitioning with dynamic cut-net control (SLTO, MP), pp. 472–476.
DACDAC-2000-PangBLC #constraints #representation #symmetry
Block placement with symmetry constraints based on the O-tree non-slicing representation (YP, FB, KL, CKC), pp. 464–467.
DACDAC-2000-TianWB #modelling
Model-based dummy feature placement for oxide chemical-mechanical polishing manufacturability (RT, DFW, RB), pp. 667–670.
DATEDATE-2000-BrennerV #performance
Faster Optimal Single-Row Placement with Fixed Ordering (UB, JV), pp. 117–121.
DATEDATE-2000-DonathKSVRSC #synthesis
Transformational Placement and Synthesis (WED, PK, LS, PV, LNR, AS, KC), pp. 194–201.
DATEDATE-2000-KumthekarS #logic #optimisation #reduction
Power and Delay Reduction via Simultaneous Logic and Placement Optimization in FPGAs (BK, FS), pp. 202–207.
DATEDATE-2000-TangWT #evaluation #performance #sequence
Fast Evaluation of Sequence Pair in Block Placement by Longest Common Subsequence Computation (XT, DFW, RT), pp. 106–111.
SIGMODSIGMOD-2000-LeeKOTM #database #parallel #self #towards
Towards Self-Tuning Data Placement in Parallel Database Systems (MLL, MK, BCO, KLT, AM), pp. 225–236.
HPDCHPDC-2000-TurgeonSC #performance #using
Application Placement using Performance Surfaces (AT, QS, MJC), pp. 229–236.
DACDAC-1999-BalasaL #layout #representation #using
Module Placement for Analog Layout Using the Sequence-Pair Representation (FB, KL), pp. 274–279.
DACDAC-1999-HurL #clustering #framework #linear
Relaxation and Clustering in a Local Search Framework: Application to Linear Placement (SWH, JL), pp. 360–366.
DATEDATE-1999-NagC
Post-Placement Residual-Overlap Removal with Minimal Movement (SN, KC), pp. 581–586.
HCIHCI-EI-1999-CooperK #visualisation
Color Balance in Color Placement Support Systems for Visualization (EWC, KK), pp. 486–490.
DACDAC-1998-EisenmannJ
Generic Global Placement and Floorplanning (HE, FMJ), pp. 269–274.
DACDAC-1998-ParakhBS #polynomial
Congestion Driven Quadratic Placement (PNP, RBB, KAS), pp. 275–278.
DACDAC-1998-SalekLP #design
A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together (AHS, JL, MP), pp. 128–134.
DACDAC-1998-WangBS #named #semistructured data
Potential-NRG: Placement with Incomplete Data (MW, PB, MS), pp. 279–282.
DATEDATE-1998-Vygen #algorithm #standard
Algorithms for Detailed Placement of Standard Cells (JV), pp. 321–324.
PODSPODS-1998-ChristodoulakisZ #database #design
Data Base Design Principles for Striping and Placement of Delay-Sensitive Data on Disks (SC, FZ), pp. 69–78.
CSEETCSEET-1998-HislopD #re-engineering
Organizational Placement of Software Engineering in a University (GWH, JLDH), pp. 40–43.
ESOPESOP-1998-KnoopRS #question
Code Motion and Code Placement: Just Synonyms? (JK, OR, BS), pp. 154–169.
ICPRICPR-1998-OlagueM #3d
Optimal camera placement to obtain accurate 3D point positions (GO, RM), pp. 8–10.
KDDKDD-1998-ParthasarathyZL #memory management #mining #parallel
Memory Placement Techniques for Parallel Association Mining (SP, MJZ, WL), pp. 304–308.
ASPLOSASPLOS-1998-CalderKJA
Cache-Conscious Data Placement (BC, CK, SJ, TMA), pp. 139–149.
ISMMISMM-1998-ChilimbiL #garbage collection #using
Using Generational Garbage Collection To Implement Cache-Conscious Data Placement (TMC, JRL), pp. 37–48.
DACDAC-1997-AlpertCHMY #polynomial #revisited
Quadratic Placement Revisited (CJA, TFC, DJHH, ILM, KY), pp. 752–757.
DACDAC-1997-SarrafzadehKT #unification
Unification of Budgeting and Placement (MS, DAK, GET), pp. 758–761.
DACDAC-1997-Vygen #algorithm #scalability
Algorithms for Large-Scale Flat Placement (JV), pp. 746–751.
DACDAC-1997-XuGC #clustering #refinement
Cluster Refinement for Block Placement (JX, PNG, CKC), pp. 762–765.
DATEEDTC-1997-PrietoRQH #algorithm #optimisation
A performance-driven placement algorithm with simultaneous Place&Route optimization for analog ICs (JAP, AR, JMQ, JLH), pp. 389–394.
CHICHI-1997-EllisRP #visualisation
Putting Visualization to Work: ProgramFinder for Youth Placement (JBE, AR, CP), pp. 502–509.
PPoPPPPoPP-1997-Hascoet #automation #clustering #parallel
Automatic Placement of Communications in Mesh-Partitioning Parallelization (LH), pp. 136–144.
DACDAC-1996-LiLLC #approach #clustering #linear
New Spectral Linear Placement and Clustering Approach (JL, JL, LTL, CKC), pp. 88–93.
DACDAC-1995-LampaertGS
Direct Performance-Driven Placement of Mismatch-Sensitive Analog Circuits (KL, GGEG, WMCS), pp. 445–449.
DACDAC-1995-SwartzS #scalability #standard
Timing Driven Placement for Large Standard Cell Circuits (WS, CS), pp. 211–215.
SIGMODSIGMOD-1995-DanS #online #policy #video
An Online Video Placement Policy based on Bandwith to Space Ratio (BSR) (AD, DS), pp. 376–385.
DACDAC-1994-CharbonMPS #optimisation
Simultaneous Placement and Module Optimization of Analog IC’s (EC, EM, DP, ALSV), pp. 31–35.
DACDAC-1994-KannanSF #algorithm #optimisation
A Methodology and Algorithms for Post-Placement Delay Optimization (LNK, PS, HGF), pp. 327–332.
DACDAC-1994-LanZG #multi #programmable
Placement and Routing for a Field Programmable Multi-Chip Module (SL, AZ, AEG), pp. 295–300.
DACDAC-1994-RiessDJ #clustering #scalability #using
Partitioning Very Large Circuits Using Analytical Placement Techniques (BMR, KD, FMJ), pp. 646–651.
DACDAC-1994-ZhuW94a
Clock Skew Minimization During FPGA Placement (KZ, DFW), pp. 232–237.
SIGMODSIGMOD-1994-Hellerstein
Practical Predicate Placement (JMH), pp. 325–335.
PLDIPLDI-1994-HanxledenK #framework #named
GIVE-N-TAKE — A Balanced Code Placement Framework (RvH, KK), pp. 107–120.
SACSAC-1994-Sen94a #network #using
File placement over a network using simulated annealing326741 (SS), pp. 251–255.
ICLPICLP-1994-BigotGD #logic programming #source code
Output Value Placement in Moded Logic Programs (PAB, DG, SKD), pp. 175–189.
DACDAC-1993-HamadaCC #approach #linear #named #network #using
Prime: A Timing-Driven Placement Tool using A Piecewise Linear Resistive Network Approach (TH, CKC, PMC), pp. 531–536.
PPoPPPPoPP-1993-BalaFC #optimisation #representation
Explicit Data Placement (XDP): A Methodology for Explicit Compile-Time Representation and Optimization (VB, JF, LC), pp. 139–148.
DACDAC-1992-GaoVL #algorithm #performance
A Performance Driven Macro-Cell Placement Algorithm (TG, PMV, CLL), pp. 147–152.
DACDAC-1992-KimBCP #algorithm #named
APT: An Area-Performance-Testability Driven Placement Algorithm (SK, PB, VC, JHP), pp. 141–146.
DACDAC-1992-LinS #approach #fuzzy #logic #problem
Fuzzy Logic Approach to Placement Problem (RBL, ES), pp. 153–158.
CCCC-1992-Griesemer #scheduling
Scheduling Instructions by Direct Placement (RG), pp. 229–235.
DACDAC-1991-JoyC #multi
Placement for Clock Period Minimization With Multiple Wave Propagation (DAJ, MJC), pp. 640–643.
DACDAC-1991-OgawaIMIST #constraints #design
Timing- and Constraint-Oriented Placement for Interconnected LSIs in Mainframe Design (YO, TI, YM, TI, YS, RT), pp. 253–258.
DACDAC-1991-OnoderaTT #bound #layout
Branch-and-Bound Placement for Building Block Layout (HO, YT, KT), pp. 433–439.
DACDAC-1991-SiglDJ #linear #polynomial #question
Analytical Placement: A Linear or a Quadratic Objective Function? (GS, KD, FMJ), pp. 427–432.
DACDAC-1991-Srinivasan #algorithm
An Algorithm for Performance-Driven Initial Placement of Small-Cell ICs (AS), pp. 636–639.
DACDAC-1991-SutanthavibulS #predict
Dynamic Prediction of Critical Paths and Nets for Constructive Timing-Driven Placement (SS, ES), pp. 632–635.
DACDAC-1991-TsayK #approach #optimisation #performance
An Analytic Net Weighting Approach for Performance Optimization in Circuit Placement (RST, JK), pp. 620–625.
VLDBVLDB-1991-GhandeharizadehRAQ #hypermedia #parallel
Object Placement in Parallel Hypermedia Systems (SG, LR, ZA, WQ), pp. 243–254.
ASPLOSASPLOS-1991-LeeK #array #performance
Performance Consequences of Parity Placement in Disk Arrays (EKL, RHK), pp. 190–199.
PPoPPPPoPP-1991-LaRoweWE #memory management #multi #operating system
Exploiting Operating System Support for Dynamic Page Placement on a NUMA Shared Memory Multiprocessor (RPLJ, JTW, CSE), pp. 122–132.
DACDAC-1990-ChatterjeeH #approach #clustering
A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing (AC, RIH), pp. 36–39.
DACDAC-1990-DonathNABHKLM #using
Timing Driven Placement Using Complete Path Delays (WED, RJN, BKA, SEB, SYH, JMK, PL, RIM), pp. 84–89.
DACDAC-1990-EdahiroY #algorithm #standard
New Placement and Global Routing Algorithms for Standard Cell Layouts (ME, TY), pp. 642–645.
DACDAC-1990-KlingB #evolution #optimisation #standard
Optimization by Simulated Evolution with Applications to Standard Cell Placement (RMK, PB), pp. 20–25.
DACDAC-1990-LinD
Performance-Driven Constructive Placement (IL, DHCD), pp. 103–106.
DACDAC-1990-TeraiTS #algorithm #assurance #constraints #design #layout
A New Min-Cut Placement Algorithm for Timing Assurance Layout Design Meeting Net Length Constraint (MT, KT, KS), pp. 96–102.
DACDAC-1990-UptonSS #design #metaprogramming #standard
Integrated Placement for Mixed Macro Cell and Standard Cell Designs (MU, KS, SS), pp. 32–35.
DACDAC-1990-Wang #layout
Pad Placement and Ring Routing for Custom Chip Layout (DCW), pp. 193–199.
VLDBVLDB-1990-HuaL #adaptation #database #parallel
An Adaptive Data Placement Scheme for Parallel Database Computer Systems (KAH, CL), pp. 493–506.
ICGTGG-1990-Brandenburg #approach #graph grammar #layout
Layout Graph Grammars: The Placement Approach (FJB), pp. 144–156.
DACDAC-1989-HerrigelF #optimisation
An Analytic Optimization Technique for Placement of Macro-Cells (AH, WF), pp. 376–381.
DACDAC-1989-JacksonK
Performance-driven Placement of Cell Based IC’s (MABJ, ESK), pp. 370–375.
DACDAC-1989-PrasitjutrakulK #approach #programming
Path-Delay Constrained Floorplanning: A Mathematical Programming Approach for Initial Placement (SP, WJK), pp. 364–369.
DACDAC-1989-SargentB #algorithm #fault #parallel #standard
A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control (JSS, PB), pp. 590–593.
DACDAC-1989-ZhangPR #performance
Efficient Final Placement Based on Nets-as-Points (XZ, LTP, RAR), pp. 578–581.
DACDAC-1988-BhandariHS #problem #towards
The Min-cut Shuffle: Toward a Solution for the Global Effect Problem of Min-cut Placement (ISB, MH, DPS), pp. 681–685.
DACDAC-1988-KumarS #architecture #array #parallel
Parallel Placement on Reduced Array Architecture (CPR, SS), pp. 121–127.
DACDAC-1988-MallelaG #clustering #standard
Clustering Based Simulated Annealing for Standard Cell Placement (SM, LKG), pp. 312–317.
DACDAC-1988-PillageR #metric #polynomial
A Quadratic Metric with a Simple Solution Scheme for Initial Placement (LTP, RAR), pp. 324–329.
DACDAC-1988-Sechen #metaprogramming #using
Chip-Planning, Placement, and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing (CS), pp. 73–80.
DACDAC-1988-TsayKH #algorithm #named #performance
Proud: A Fast Sea-of-Gates Placement Algorithm (RST, ESK, CPH), pp. 318–323.
SIGMODSIGMOD-1988-CopelandABK
Data Placement In Bubba (GPC, WA, EEB, TWK), pp. 99–108.
DACDAC-1987-Chen
Routing L-Shaped Channels in Nonslicing-Structure Placement (HHC), pp. 152–158.
DACDAC-1987-Forbes #heuristic
Heuristic Acceleration of Force-Directed Placement (RF), pp. 735–740.
DACDAC-1987-Grover #standard #using
Standard Cell Placement Using Simulated Sintering (LKG), pp. 56–59.
DACDAC-1987-JonesB #algorithm #parallel #performance #standard
Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube (MJ, PB), pp. 807–813.
DACDAC-1987-KlingB #evolution #named #standard #using
ESP: A New Standard Cell Placement Package Using Simulated Evolution (RMK, PB), pp. 60–66.
DACDAC-1987-LueM #game studies #layout #named
PLAY: Pattern-Based Symbolic Cell Layout: Part I: Transistor Placement (WJL, LPM), pp. 659–665.
DACDAC-1987-OdawaraHIYD #rule-based
A Rule-Based Placement System for Printed Wiring Boards (GO, TH, KI, TY, YD), pp. 777–785.
DACDAC-1986-Hartoog #analysis #layout #standard
Analysis of placement procedures for VLSI standard cell layout (MRH), pp. 314–319.
DACDAC-1986-JustKJ #layout #on the #problem #standard
On the relative placement and the transportation problem for standard-cell layout (KMJ, JMK, FMJ), pp. 308–313.
DACDAC-1986-KravitzR #multi
Multiprocessor-based placement by simulated annealing (SAK, RAR), pp. 567–573.
DACDAC-1986-OgawaISTKYC #algorithm #optimisation #performance
Efficient placement algorithms optimizing delay for high-speed ECL masterslice LSIs (YO, TI, YS, HT, TK, KY, KC), pp. 404–410.
DACDAC-1986-PreasK #automation #bibliography #tutorial
Automatic placement a review of current techniques (tutorial session) (BP, PGK), pp. 622–629.
DACDAC-1986-SechenS #standard
TimberWolf3.2: a new standard cell placement and global routing package (CS, ALSV), pp. 432–439.
DACDAC-1986-Szepieniec #slicing
Integrated placement/routing in sliced layouts (AAS), pp. 300–307.
DACDAC-1985-Blanks #polynomial #using
Near-optimal placement using a quadratic objective function (JPB), pp. 609–615.
DACDAC-1985-OdawaraIW #knowledge-based
Knowledge-based placement technique for printed wiring boards (GO, KI, KW), pp. 616–622.
DACDAC-1985-ShaD #algorithm
An analytical algorithm for placement of arbitrarily sized rectangular blocks (LS, RWD), pp. 602–608.
DACDAC-1984-Blanks #array #using
Initial placement of gate arrays using least-squares methods (JPB), pp. 670–671.
DACDAC-1984-KozawaMT #algorithm #layout #logic #top-down
Combine and top down block placement algorithm for hierarchical logic VLSI layout (TK, CM, HT), pp. 667–669.
DACDAC-1984-MarkovFB #2d #optimisation
Optimization techniques for two-dimensional placement (LAM, JRF, JHB), pp. 652–654.
DACDAC-1984-Palczewski #algorithm #performance
Performance of algorithms for initial placement (MP), pp. 399–404.
DACDAC-1984-Richard #standard
A standard cell initial placement strategy (BDR), pp. 392–398.
DACDAC-1984-Roach
The rectangle placement language (JAR), pp. 405–411.
DACDAC-1984-SastryP #logic #on the #slicing
On the relation between wire length distributions and placement of logic on master slice ICs (SS, ACP), pp. 710–711.
DACDAC-1984-WardleWWMN #approach #declarative #design
A declarative design approach for combining macrocells by directed placement and constructive routing (CLW, CRW, CAW, JCM, BJN), pp. 594–601.
DACDAC-1983-ChyanB #algorithm #array
A placement algorithm for array processors (DJC, MAB), pp. 182–188.
DACDAC-1983-FukunagaYSK #approach #graph #using
Placement of circuit modules using a graph space approach (KF, SY, HSS, TK), pp. 465–471.
DACDAC-1983-IosupoviczKB
A module interchange placement machine (AI, CK, MAB), pp. 171–174.
DACDAC-1983-Kang #linear
Linear ordering and application to placement (SK), pp. 457–464.
DACDAC-1983-KirkCSBT #array
Placement of irregular circuit elements on non-uniform gate arrays (HK, PDC, JAS, JDB, GLT), pp. 637–643.
DACDAC-1983-KozawaTIHMOKYO #algorithm #automation
Automatic placement algorithms for high packing density V L S I (TK, HT, TI, MH, CM, YO, KK, NY, YO), pp. 175–181.
DACDAC-1983-OdawaraIK #clustering
Partitioning and placement technique for bus-structured PWB (GO, KI, TK), pp. 449–456.
DACDAC-1983-SupowitS #algorithm
Placement algorithms for custom VLSI (KJS, EAS), pp. 164–170.
DACDAC-1982-ChandrasekharB
Optimum placement of two rectangular blocks (MSC, MAB), pp. 879–886.
DACDAC-1982-KambeCKION #algorithm #evaluation
A placement algorithm for polycell LSI and ITS evaluation (TK, TC, SK, TI, NO, IN), pp. 655–662.
DACDAC-1982-Rivest
The “PI” (placement and interconnect) system (RLR), pp. 475–481.
DACDAC-1981-Akers #algorithm #linear #on the
On the use of the linear assignment algorithm in module placement (SBA), pp. 137–144.
DACDAC-1981-KhokhaniPFSH
Placement of variable size circuits on LSI masterslices (KHK, AMP, WF, JS, DH), pp. 426–434.
DACDAC-1981-MalladiSV #automation
Automatic placement of rectangular blocks with the interconnection channels (RM, GS, AV), pp. 419–425.
DACDAC-1981-PatelC #clustering #problem
Partitioning for VLSI placement problems (AMP, LCC), pp. 411–418.
DACDAC-1981-Shupe #automation #component #interactive
Automatic component placement in an interactive minicomputer environment (CFS), pp. 145–152.
DACDAC-1980-CoteP #algorithm #problem
The interchange algorithms for circuit placement problems (LCC, AMP), pp. 528–534.
DACDAC-1980-CoxC #array #automation #standard
The Standard Transistor Array (star) (Part II automatic cell placement techniques) (GWC, BDC), pp. 451–457.
DACDAC-1980-Jayakumar #data type #interactive
A data structure for interactive placement of rectangular objects (VJ), pp. 237–242.
DACDAC-1980-LuebbertU
Gate assignment and pack placement: Two approaches compared (FL, MU), pp. 472–482.
DACDAC-1980-ShiraishiH #performance #slicing
Efficient placement and routing techniques for master slice LSI (HS, FH), pp. 458–464.
DACDAC-1979-CarterBS #incremental
Incremental processing applied to Steinberg’s placement procedure (HWC, MAB, ZAS), pp. 26–31.
DACDAC-1979-Corrigan #clustering
A placement capability based on partitioning (LIC), pp. 406–413.
DACDAC-1979-Goto #2d #algorithm #layout #problem #slicing
A two-dimensional placement algorithm for the master slice LSI layout problem (SG), pp. 11–17.
DACDAC-1979-LallierJ
A new circuit placement program for FET chips (KWL, RKJ), pp. 109–113.
DACDAC-1979-Lauther #algorithm #graph #representation
A min-cut placement algorithm for general cell assemblies based on a graph representation (UL), pp. 1–10.
DACDAC-1979-MuraiTKST
A hierarchical placement procedure with a simple blocking scheme (SM, HT, MK, KS, CT), pp. 18–23.
DACDAC-1979-PreasC #algorithm
Placement algorithms for arbitrarily shaped blocks (BP, WMvC), pp. 474–480.
DACDAC-1979-StablerKK #algorithm #clustering
Placement algorithm by partitioning for optimum rectangular placement (EPS, VMK, VAK), pp. 24–25.
DACDAC-1979-WangB #automation
A software system for Automated Placement And Wiring of LSI chips (PTW, PB), pp. 327–329.
DACDAC-1978-NishiokaKYSO #approach
An approach to gate assignment and module placement for printed wiring boards (IN, TK, SY, IS, HO), pp. 60–69.
DACDAC-1977-Breuer #algorithm
A class of min-cut placement algorithms (MAB), pp. 284–290.
DACDAC-1977-KhokhaniP #layout #problem
The chip layout problem: A placement procedure for lsi (KHK, AMP), pp. 291–297.
DACDAC-1976-HananSA
Some experimental results on placement techniques (MH, PKWS, BJA), pp. 214–224.
DACDAC-1976-KamikawaiKOYC
Placement and routing program for master-slice LSI’s (RK, KK, AO, IY, TC), pp. 245–250.
DACDAC-1976-PattersonP #automation
A proven operational CAD system for P.W.B. design-based on a mini-computer and featuring fully automatic placement and routing (GLP, BHP), pp. 259–264.
DACDAC-1976-Persky #automation #layout #named #string
PRO — an automatic string placement program for polycell layout (GP), pp. 417–424.
DACDAC-1976-Schweikert #2d #algorithm #layout
A 2-dimensional placement algorithm for the layout of electrical circuits (DGS), pp. 408–416.
DACDAC-1975-Ciampi #problem
A system for solution of the placement problem (PLC), pp. 317–323.
DACDAC-1975-Quinn #physics #problem
The placement problem as viewed from the physics of classical mechanics (NRQJ), pp. 173–178.
DACDAC-1975-SchmidtD #algorithm
An iterative algorithm for placement and assignment of integrated circuits (DCS, LED), pp. 361–368.
DACDAC-1975-Shupe #automation #component
Automatic component placement in the nomad system (CFS), pp. 162–172.
DACDAC-1974-WilsonS #comparison
An experimental comparison of force directed placement techniques (DCW, RJSI), pp. 194–199.
DACDAC-1972-SchulerU #clustering #linear
Clustering and linear placement (DMS, EGU), pp. 50–56.
DACDAC-1971-Scanlon #automation #component #multi
Automated placement of multi-terminal components (TS), pp. 143–154.
DACDAC-1967-Richards #automation #equation #logic #named #programming #simulation
SWAP — a programming system for automatic simulation, wiring and placement of logical equations (DLR).
DACSHARE-1964-Weindling #geometry
A method for the best geometric placement of units on a plane (MNW).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.