BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
silicon (50)
process (28)
use (27)
base (25)
valid (22)

Stem post$ (all stems)

247 papers:

DACDAC-2015-HanF #analysis #approach #cpu #gpu #graph #scalability
Transient-simulation guided graph sparsification approach to scalable harmonic balance (HB) analysis of post-layout RF circuits leveraging heterogeneous CPU-GPU computing systems (LH, ZF), p. 6.
DACDAC-2015-Kahng #game studies
New game, new goal posts: a recent history of timing closure (ABK), p. 6.
DACDAC-2015-RayYBB #correctness #design #security #validation
Correctness and security at odds: post-silicon validation of modern SoC designs (SR, JY, AB, SB), p. 6.
DACDAC-2015-ZaheerWGL #markov #named #performance #process
mTunes: efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process (MZ, FW, CG, XL), p. 6.
DATEDATE-2015-LinSKRM #debugging #detection #effectiveness #fault #performance #testing #validation
Quick error detection tests with fast runtimes for effective post-silicon validation and debug (DL, ES, SK, ER, SM), pp. 1168–1173.
DATEDATE-2015-TaatizadehN #automation #design #detection #embedded #validation
A methodology for automated design of embedded bit-flips detectors in post-silicon validation (PT, NN), pp. 73–78.
HTHT-2015-WeningerJG #case study #random
Random Voting Effects in Social-Digital Spaces: A Case Study of Reddit Post Submissions (TW, TJJ, MG), pp. 293–297.
ICSMEICSME-2015-KhadkaSKSHJDB #analysis #case study #legacy #what
Does software modernization deliver what it aimed for? A post modernization analysis of five software modernization case studies (RK, PS, BK, AS, JH, SJ, EvD, MB), pp. 477–486.
MSRMSR-2015-DonadelliZR #case study #fault #replication #using
Organizational Volatility and Post-release Defects: A Replication Case Study Using Data from Google Chrome (SMD, YCZ, PCR), pp. 391–395.
MSRMSR-2015-HonselHG #evaluation #stack overflow
Intuition vs. Truth: Evaluation of Common Myths about StackOverflow Posts (VH, SH, JG), pp. 438–441.
MSRMSR-2015-SlagWB #stack overflow #why
One-Day Flies on StackOverflow — Why the Vast Majority of StackOverflow Users Only Posts Once (RS, MdW, AB), pp. 458–461.
MSRMSR-2015-WangMG #api #developer #recommendation
Recommending Posts concerning API Issues in Developer Q&A Sites (WW, HM, MWG), pp. 224–234.
CHICHI-2015-AhmedMJ
Residual Mobilities: Infrastructural Displacement and Post-Colonial Computing in Bangladesh (SIA, NJM, SJJ), pp. 437–446.
HCIHCI-UC-2015-MacielP #human-computer #legacy
Post-mortem Digital Legacy: Possibilities in HCI (CM, VCP), pp. 339–349.
HCIHCI-UC-2015-ZhaoH15a #assessment #concept #enterprise
Post-Implementation ERP Success Assessment: A Conceptual Model (FZ, EH), pp. 246–255.
HCIHIMI-IKD-2015-MacielPS #interactive #internet #legacy
Internet Users’ Legal and Technical Perspectives on Digital Legacy Management for Post-mortem Interaction (CM, VCP, MS), pp. 627–639.
HCISCSM-2015-KastratiIYD #analysis #network #online #social #using
Analysis of Online Social Networks Posts to Investigate Suspects Using SEMCON (ZK, ASI, SYY, FD), pp. 148–157.
HCISCSM-2015-MendesFFC #experience #social #usability #user interface
Investigating Usability and User Experience from the User Postings in Social Systems (MSM, EF, VF, MFdC), pp. 216–228.
ICEISICEIS-v1-2015-Hasheela #comparison #enterprise #scalability
On-premise ERP Organizational Post-implementation Practices — Comparison between Large Enterprises and Small and Medium-Sized Enterprises (VH), pp. 243–250.
ICEISICEIS-v2-2015-FilhoVC #experience #process
Applying Knowledge Codification in a Post-mortem Process — A Practical Experience (ESdSF, DV, TC), pp. 153–165.
KDDKDD-2015-GrbovicRDBN #gender
Gender and Interest Targeting for Sponsored Post Advertising at Tumblr (MG, VR, ND, NB, AN), pp. 1819–1828.
KDDKDD-2015-LalmasLSST #experience
Promoting Positive Post-Click Experience for In-Stream Yahoo Gemini Users (ML, JL, GS, FS, GT), pp. 1929–1938.
KDDKDD-2015-SpasojevicLRB #network #social
When-To-Post on Social Networks (NS, ZL, AR, PB), pp. 2127–2136.
SEKESEKE-2015-CavalcanteRC #experience #named #user interface
MAX: A Method for Evaluating the Post-use User eXperience through Cards and a Board (EC, LR, TC), pp. 495–500.
ICSEICSE-v2-2015-Bichhawat #analysis
Post-Dominator Analysis for Precisely Handling Implicit Flows (AB), pp. 787–789.
CGOCGO-2015-ZhangGCCH #named #performance
HERMES: a fast cross-ISA binary translator with post-optimization (XZ, QG, YC, TC, WH), pp. 246–256.
DACDAC-2014-HeldS #optimisation
Post-Routing Latch Optimization for Timing Closure (SH, US), p. 6.
DACDAC-2014-NahirDKRRSSW #validation
Post-Silicon Validation of the IBM POWER8 Processor (AN, MD, SK, KR, WR, KDS, KS, GW), p. 6.
DATEDATE-2014-CongLYX #evaluation #prototype #testing #validation
Coverage evaluation of post-silicon validation tests with virtual prototypes (KC, LL, ZY, FX), pp. 1–6.
DATEDATE-2014-FriedlerKMNS #effectiveness #locality #slicing #using
Effective post-silicon failure localization using dynamic program slicing (OF, WK, AM, AN, VS), pp. 1–6.
HTHT-2014-CunhaMGCA #how #social
How you post is who you are: characterizing google+ status updates across social groups (EC, GM, MAG, CC, VA), pp. 212–217.
ICSMEICSME-2014-PonzanelliMBLF #detection #quality #stack overflow
Improving Low Quality Stack Overflow Post Detection (LP, AM, AB, ML, DF), pp. 541–544.
DLTDLT-J-2013-DayRS14 #on the #problem
On the Dual Post Correspondence Problem (JDD, DR, JCS), pp. 1033–1048.
CHICHI-2014-BrubakerDGKH #legacy
Stewarding a legacy: responsibilities and relationships in the management of post-mortem data (JRB, LD, AMG, NK, GRH), pp. 4157–4166.
CHICHI-2014-FortinNH #community #design #interactive
Posting for community and culture: considerations for the design of interactive digital bulletin boards (CF, CN, KH), pp. 1425–1434.
CHICHI-2014-ThreattMGWBH #design #evaluation #process
An assistive robotic table for older and post-stroke adults: results from participatory design and evaluation activities with clinical staff (AT, JM, KEG, IDW, JOB, SH), pp. 673–682.
HCIDUXU-TMT-2014-BystrickyB #architecture #towards #user interface
Post Media: Towards a User Interface Architecture (JB, JB), pp. 519–526.
HCIHIMI-AS-2014-MakiY #3d #image #multi #using
3D CG Integral Photography Artwork Using Glittering Effects in the Post-processing of Multi-viewpoint Rendered Images (NM, KY), pp. 546–554.
HCIHIMI-DE-2014-EideOK #assessment
A Post-simulation Assessment Tool for Training of Air Traffic Controllers (AWE, SSØ, AK), pp. 34–43.
HCISCSM-2014-MendesFFC #analysis #classification #how #social #using
How Do Users Express Their Emotions Regarding the Social System in Use? A Classification of Their Postings by Using the Emotional Analysis of Norman (MSM, EF, VF, MFdC), pp. 229–241.
HILTHILT-2014-BaggeH #algebra #api #specification #why
Specification of generic APIs, or: why algebraic may be better than pre/post (AHB, MH), pp. 71–80.
CIKMCIKM-2014-BagdouriOC
CLIR for Informal Content in Arabic Forum Posts (MB, DWO, VC), pp. 1811–1814.
ICPRICPR-2014-SetitraL #algorithm #bibliography #perspective
Background Subtraction Algorithms with Post-processing: A Review (IS, SL), pp. 2436–2441.
KDDKDD-2014-YaoTXL #predict
Predicting long-term impact of CQA posts: a comprehensive viewpoint (YY, HT, FX, JL), pp. 1496–1505.
SEKESEKE-2014-DoranGD #coordination #estimation #social #social media
Accurate Local Estimation of Geo-Coordinates for Social Media Posts (DD, SSG, AD), pp. 642–647.
RERE-2014-Teruel #collaboration #requirements #specification
Improving collaborative and Post-WIMP systems through requirements specification (MAT), pp. 461–466.
ASPLOSASPLOS-2014-SchulteDHFW #energy #optimisation
Post-compiler software optimization for reducing energy (EMS, JD, SH, SF, WW), pp. 639–652.
DACDAC-2013-LeiXC #consistency #prototype
Post-silicon conformance checking with virtual prototypes (LL, FX, KC), p. 6.
DACDAC-2013-YeYSJX #generative
Post-placement voltage island generation for timing-speculative circuits (RY, FY, ZS, WBJ, QX), p. 6.
DATEDATE-2013-ChandranSP #validation
Space sensitive cache dumping for post-silicon validation (SC, SRS, PRP), pp. 497–502.
DATEDATE-2013-DeOrioLBB #debugging #detection #machine learning
Machine learning-based anomaly detection for post-silicon bug diagnosis (AD, QL, MB, VB), pp. 491–496.
DATEDATE-2013-LiD #approach #debugging #hybrid #performance
A hybrid approach for fast and accurate trace signal selection for post-silicon debug (ML, AD), pp. 485–490.
DATEDATE-2013-LinHLFGHM #challenge #detection #fault #validation
Overcoming post-silicon validation challenges through quick error detection (QED) (DL, TH, YL, FF, DSG, NH, SM), pp. 320–325.
DATEDATE-2013-LiuLC #algorithm
A network-flow based algorithm for power density mitigation at post-placement stage (SYSL, RGL, HMC), pp. 1707–1710.
DATEDATE-2013-ZhangYH0 #testing
Capturing post-silicon variation by layout-aware path-delay testing (XZ, JY, YH, XL), pp. 288–291.
DRRDRR-2013-TaghvaPM #higher-order #markov #modelling
Post processing with first- and second-order hidden Markov models (KT, SP, SM).
ICDARICDAR-2013-LeVTO #categorisation #documentation
Improving Logo Spotting and Matching for Document Categorization by a Post-Filter Based on Homography (VPL, MV, DCT, JMO), pp. 270–274.
FoSSaCSFoSSaCS-2013-KarandikarS #complexity #parametricity #problem #recursion
The Parametric Ordinal-Recursive Complexity of Post Embedding Problems (PK, SS), pp. 273–288.
DLTDLT-2013-DayRS #on the #problem
On the Dual Post Correspondence Problem (JDD, DR, JCS), pp. 167–178.
CHICHI-2013-GreenHM
The efficacy of human post-editing for language translation (SG, JH, CDM), pp. 439–448.
CSCWCSCW-2013-SleeperBDMWC #facebook #self
The post that wasn’t: exploring self-censorship on facebook (MS, RB, SD, ALM, JW, LFC), pp. 793–802.
HCIOCSC-2013-Huang13a #community #facebook
Motivations of Facebook Users for Responding to Posts on a Community Page (FHH), pp. 33–39.
KDDKDD-2013-AsadiLB #memory management #policy #realtime #twitter
Dynamic memory allocation policies for postings in real-time Twitter search (NA, JL, MB), pp. 1186–1194.
KDIRKDIR-KMIS-2013-CherichiF #microblog
Relevant Information Discovery in Microblogs — Combining Post’s Features and Author’s Features to Improve Search Results (SC, RF), pp. 128–135.
DACDAC-2012-LinHFHM #debugging #detection #effectiveness #validation
Quick detection of difficult bugs for effective post-silicon validation (DL, TH, FF, NH, SM), pp. 561–566.
DACDAC-2012-WangKPRLFMP #adaptation #design #optimisation #statistics
Statistical design and optimization for adaptive post-silicon tuning of MEMS filters (FW, GK, AP, JR, XL, GKF, TM, LTP), pp. 176–181.
DACDAC-2012-WelpKK #optimisation
Generalized SAT-sweeping for post-mapping optimization (TW, SK, AK), pp. 814–819.
DATEDATE-2012-JinMM #encryption #evaluation #trust
Post-deployment trust evaluation in wireless cryptographic ICs (YJ, DM, YM), pp. 965–970.
DATEDATE-2012-LiDX #process
Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations (ML, AD, LX), pp. 1591–1596.
DATEDATE-2012-RahmanS #power management
Post-synthesis leakage power minimization (MR, CS), pp. 99–104.
DRRDRR-2012-WangDL #recognition #string
Post processing for offline Chinese handwritten character string recognition (YW, XD, CL).
CHICHI-2012-MoncurBKT
From death to final disposition: roles of technology in the post-mortem interval (WM, JB, EK, JT), pp. 531–540.
CSCWCSCW-2012-JonesA #social
We don’t need no stinkin’ badges: examining the social role of badges in the huffington post (JJ, NA), pp. 249–252.
CIKMCIKM-2012-AgarwalCW #multi #ranking #using
Multi-faceted ranking of news articles using post-read actions (DA, BCC, XW), pp. 694–703.
CIKMCIKM-2012-AsadiL #documentation #generative #performance #ranking
Fast candidate generation for two-phase document ranking: postings list intersection with bloom filters (NA, JL), pp. 2419–2422.
CIKMCIKM-2012-WangYYLM
Diversionary comments under political blog posts (JW, CTY, PSY, BL, WM), pp. 1789–1793.
ECIRECIR-2012-AlhadiGKN #microblog #monitoring #named #predict
LiveTweet: Monitoring and Predicting Interesting Microblog Posts (ACA, TG, JK, NN), pp. 569–570.
SIGIRSIGIR-2012-SpinaMROBB #aspect-oriented #identification #microblog
Identifying entity aspects in microblog posts (DS, EM, MdR, AO, MTB, MB), pp. 1089–1090.
SIGIRSIGIR-2012-XuZWY #behaviour #modelling #social #social media
Modeling user posting behavior on social media (ZX, YZ, YW, QY), pp. 545–554.
SIGIRSIGIR-2012-YangLLR #analysis #graph #twitter
Finding interesting posts in Twitter based on retweet graph analysis (MCY, JTL, SWL, HCR), pp. 1073–1074.
SACSAC-2012-SaidJA #collaboration
Analyzing weighting schemes in collaborative filtering: cold start, post cold start and power users (AS, BJJ, SA), pp. 2035–2040.
CAVCAV-2012-PaulaHN #debugging #named #nondeterminism
nuTAB-BackSpace: Rewriting to Normalize Non-determinism in Post-silicon Debug Traces (FMdP, AJH, AN), pp. 513–531.
DACDAC-2011-AbrishamiLQFP #optimisation #power management
Post sign-off leakage power optimization (HA, JL, JQ, JF, MP), pp. 453–458.
DACDAC-2011-AdirGLNSSZ #concurrent #multi #named #thread
Threadmill: a post-silicon exerciser for multi-threaded processors (AA, MG, SL, AN, GS, VS, AZ), pp. 860–865.
DACDAC-2011-AdirNSZMS #validation #verification
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor (AA, AN, GS, AZ, CM, JS), pp. 569–574.
DACDAC-2011-DingGYP #detection #learning #named
AENEID: a generic lithography-friendly detailed router based on post-RET data learning and hotspot detection (DD, JRG, KY, DZP), pp. 795–800.
DACDAC-2011-MillerBHDCB #analysis #testing #validation
A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation (GM, BB, YCH, JD, XC, GB), pp. 575–578.
DACDAC-2011-NowrozWR #modelling #using
Improved post-silicon power modeling using AC lock-in techniques (ANN, GW, SR), pp. 101–106.
DACDAC-2011-SingermanAB #transaction #validation
Transaction based pre-to-post silicon validation (ES, YA, SB), pp. 564–568.
DATEDATE-2011-AdirCLNSZMS #validation #verification
A unified methodology for pre-silicon verification and post-silicon validation (AA, SC, SL, AN, GS, AZ, CM, JS), pp. 1590–1595.
DATEDATE-2011-LiuX #debugging #multi #on the
On multiplexed signal tracing for post-silicon debug (XL, QX), pp. 685–690.
DocEngDocEng-2011-BalinskyCS #documentation #encryption
Publicly posted composite documents with identity based encryption (HB, LC, SJS), pp. 239–248.
ICSMEICSM-2011-Hindle #perspective #process
Evidence-based software process recovery: A post-doctoral view (AH), pp. 562–567.
ICSMEICSM-2011-KhomhCZSD #fault #predict #testing #using
Predicting post-release defects using pre-release field testing results (FK, BC, YZ, AS, DD), pp. 253–262.
DLTDLT-J-2009-HalavaH11 #problem #reduction
Reduction Tree of the Binary Generalized Post Correspondence Problem (VH, SH), pp. 473–490.
CHICHI-2011-ChilanaKWGF #bibliography #usability
Post-deployment usability: a survey of current practices (PKC, AJK, JOW, TG, GWF), pp. 2243–2246.
CSCWCSCW-2011-BrubakerH #empirical #online #quote
“We will never forget you [online]”: an empirical investigation of post-mortem myspace comments (JRB, GRH), pp. 123–132.
ICEISICEIS-J-2011-CarvalhoSRP #named
PAR-COM: A New Methodology for Post-processing Association Rules (VOdC, FFdS, SOR, RdP), pp. 66–80.
ICEISICEIS-v1-2011-CarvalhoSR #clustering #metric
Post-processing Association Association Rules with Clustering and Objective Measures (VOdC, FFdS, SOR), pp. 54–63.
ICEISICEIS-v2-2011-ShahK #architecture #parallel #simulation
System Architecture of the Decision Support System Employing Microscopic Simulation and Expert System in Parallel for the Post Incident Traffic Management (SAAS, HK), pp. 113–117.
CIKMCIKM-2011-StepanovGREO
SIMD-based decoding of posting lists (AAS, ARG, DER, RJE, PSO), pp. 317–326.
ECIRECIR-2011-DuanZ #concurrent #modelling #retrieval #thread
Exploiting Thread Structures to Improve Smoothing of Language Models for Forum Post Retrieval (HD, CZ), pp. 350–361.
ECIRECIR-2011-MassoudiTRW #microblog #quality #query
Incorporating Query Expansion and Quality Indicators in Searching Microblog Posts (KM, MT, MdR, WW), pp. 362–367.
RecSysRecSys-2011-WangSS #e-commerce #recommendation
Utilizing related products for post-purchase recommendation in e-commerce (JW, BS, NS), pp. 329–332.
SIGIRSIGIR-2011-CuiWLOYS #predict #ranking #social #what
Who should share what?: item-level social influence prediction for users and posts ranking (PC, FW, SL, MO, SY, LS), pp. 185–194.
SIGIRSIGIR-2011-SongZH #query
Post-ranking query suggestion by diversifying search results (YS, DZ, LwH), pp. 815–824.
SIGIRSIGIR-2011-TatikondaCJ #architecture #manycore
Posting list intersection on multicore architectures (ST, BBC, FPJ), pp. 963–972.
ICSEICSE-2011-LiKZJNMK #difference
Characterizing the differences between pre- and post- release versions of software (PLL, RK, ZZ, SeJ, NN, BM, AJK), pp. 716–725.
DACDAC-2010-ConstantinidesA #debugging #testing #using
Using introspective software-based testing for post-silicon debug and repair (KC, TMA), pp. 537–542.
DACDAC-2010-GoodenoughA #design
Post-silicon is too late avoiding the $50 million paperweight starts with validated designs (JG, RA), pp. 8–11.
DACDAC-2010-KeshavaHP #challenge #how #validation
Post-silicon validation challenges: how EDA and academia can help (JK, NH, CP), pp. 3–7.
DACDAC-2010-MitraSN #challenge #validation
Post-silicon validation opportunities, challenges and recent advances (SM, SAS, NN), pp. 12–17.
DACDAC-2010-NahirZGHACBFBK #validation #verification
Bridging pre-silicon verification and post-silicon validation (AN, AZ, RG, AJH, MA, AC, BB, HF, VB, SK), pp. 94–95.
DACDAC-2010-ParkBWM #debugging #graph #locality #named #using
BLoG: post-silicon bug localization in processors using bug localization graphs (SBP, AB, HW, SM), pp. 368–373.
DACDAC-2010-XieD #predict #variability
Representative path selection for post-silicon timing prediction under variability (LX, AD), pp. 386–391.
DACDAC-2010-XieDS
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations (LX, AD, KKS), pp. 274–279.
DATEDATE-2010-LiuNCMP #reduction
Post-placement temperature reduction techniques (WL, AN, AC, EM, MP), pp. 634–637.
DATEDATE-2010-NeishaburiZ #clustering #debugging #performance
Enabling efficient post-silicon debug by clustering of hardware-assertions (MHN, ZZ), pp. 985–988.
DocEngDocEng-2010-BalinskyS #difference #documentation #multi #workflow
Differential access for publicly-posted composite documents with multiple workflow participants (HB, SJS), pp. 115–124.
DRRDRR-2010-XiuB #recognition
Incorporating linguistic post-processing into whole-book recognition (PX, HSB), pp. 1–10.
ICSMEICSM-2010-GergelyBGG #case study #experience #industrial #metric
Effect of test completeness and redundancy measurement on post release failures — An industrial experience report (TG, ÁB, TG, MIG), pp. 1–10.
STOCSTOC-2010-ChawlaHMS #design #multi
Multi-parameter mechanism design and sequential posted pricing (SC, JDH, DLM, BS), pp. 311–320.
DLTDLT-2010-ChambartS #problem #set
Computing Blocker Sets for the Regular Post Embedding Problem (PC, PS), pp. 136–147.
ICALPICALP-v2-2010-ChambartS #problem
Pumping and Counting on the Regular Post Embedding Problem (PC, PS), pp. 64–75.
LATALATA-2010-KlunderR #commutative #problem
Post Correspondence Problem with Partially Commutative Alphabets (BK, WR), pp. 356–367.
CHICHI-2010-SmythEB #named
MOSES: exploring new ground in media and post-conflict reconciliation (TNS, JE, MLB), pp. 1059–1068.
ICPRICPR-2010-LlobetCPA #finite #transducer #using
OCR Post-processing Using Weighted Finite-State Transducers (RL, JRCN, JCPC, JA), pp. 2021–2024.
KDIRKDIR-2010-AkbarSN
Extracting Main Content-blocks from Blog Posts (SA, LS, ØN), pp. 438–443.
KDIRKDIR-2010-SaidW #clustering #concurrent #online #thread
Clustering of Thread Posts in Online Discussion Forums (DAS, NMW), pp. 314–319.
SIGIRSIGIR-2010-ZhongWWCZCW #behaviour
Incorporating post-click behaviors into a click model (FZ, DW, GW, WC, YZ, ZC, HW), pp. 355–362.
DACDAC-2009-LiuX #design #validation
Interconnection fabric design for tracing signals in post-silicon validation (XL, QX), pp. 352–357.
DATEDATE-2009-LiuX #validation
Trace signal selection for visibility enhancement in post-silicon validation (XL, QX), pp. 1338–1343.
DATEDATE-2009-NagarajK #case study #process
A study on placement of post silicon clock tuning buffers for mitigating impact of process variation (KN, SK), pp. 292–295.
DATEDATE-2009-PaciBB #adaptation #bias #communication #effectiveness #variability
Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels (GP, DB, LB), pp. 1404–1409.
ICDARICDAR-2009-SharmaLM
Shape Encoded Post Processing of Gurmukhi OCR (DVS, GSL, SM), pp. 788–792.
ICDARICDAR-2009-SundaramR #online #recognition #using
An Improved Online Tamil Character Recognition Engine Using Post-Processing Methods (SS, AGR), pp. 1216–1220.
DLTDLT-2009-Harju #matrix #problem
Post Correspondence Problem and Small Dimensional Matrices (TH), pp. 39–46.
CHICHI-2009-SauroD #comparison #usability
Comparison of three one-question, post-task usability questionnaires (JS, JSD), pp. 1599–1608.
CIKMCIKM-2009-LeeNL #approach #feedback #retrieval #using
An improved feedback approach using relevant local posts for blog feed retrieval (YL, SHN, JHL), pp. 1971–1974.
CIKMCIKM-2009-LiuLW #order
Post-rank reordering: resolving preference misalignments between search engines and end users (CL, ML, YMW), pp. 641–650.
ECIRECIR-2009-GeraniCC #learning #retrieval
Investigating Learning Approaches for Blog Post Opinion Retrieval (SG, MJC, FC), pp. 313–324.
ECIRECIR-2009-NamNLL #difference #named
DiffPost: Filtering Non-relevant Content Based on Content Difference between Two Consecutive Blog Posts (SHN, SHN, YL, JHL), pp. 791–795.
RecSysRecSys-2009-PannielloTGPP #comparison #recommendation
Experimental comparison of pre- vs. post-filtering approaches in context-aware recommender systems (UP, AT, MG, CP, AP), pp. 265–268.
SIGIRSIGIR-2009-MacdonaldOS #question
Is spam an issue for opinionated blog post search? (CM, IO, IS), pp. 710–711.
SIGIRSIGIR-2009-TatikondaJCP #manycore #on the #performance
On efficient posting list intersection with multicore processors (ST, FJ, BBC, VP), pp. 738–739.
SACSAC-2009-LandZEL #enterprise #integration #ontology #performance #towards
Towards a fast enterprise ontology based method for post merger integration (MOL, HZ, PE, QL), pp. 245–252.
HPCAHPCA-2009-DeOrioWB #design #manycore #memory management #named #validation
Dacota: Post-silicon validation of the memory subsystem in multi-core designs (AD, IW, VB), pp. 405–416.
DACDAC-2008-AlkabaniMKP #variability
Input vector control for post-silicon leakage current minimization in the presence of manufacturing variability (YA, TM, FK, MP), pp. 606–609.
DACDAC-2008-BijanskyA #named
TuneFPGA: post-silicon tuning of dual-Vdd FPGAs (SB, AA), pp. 796–799.
DACDAC-2008-ChoYBP #named #performance #predict
ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction (MC, KY, YB, DZP), pp. 504–509.
DACDAC-2008-ParkM #analysis #debugging #locality #named
IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors (SBP, SM), pp. 373–378.
DATEDATE-2008-KoN #automation #identification #validation
Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation (HFK, NN), pp. 1298–1303.
DATEDATE-2008-KoN08a #automation #generative #on the #validation
On Automated Trigger Event Generation in Post-Silicon Validation (HFK, NN), pp. 256–259.
FoSSaCSFoSSaCS-2008-ChambartS #problem
The ω-Regular Post Embedding Problem (PC, PS), pp. 97–111.
CHICHI-2008-JacobGHHSSZ #framework #interactive #interface
Reality-based interaction: a framework for post-WIMP interfaces (RJKJ, AG, LMH, MSH, OS, ETS, JZ), pp. 201–210.
CHICHI-2008-KramerR #behaviour #modelling #word
Word usage and posting behaviors: modeling blogs with unobtrusive data collection methods (ADIK, KR), pp. 1125–1128.
CIKMCIKM-2008-HeMHO #approach #effectiveness #retrieval #statistics
An effective statistical approach to blog post opinion retrieval (BH, CM, JH, IO), pp. 1063–1072.
CIKMCIKM-2008-LiuBP #categorisation
Categorizing blogger’s interests based on short snippets of blog posts (JL, LB, BP), pp. 1525–1526.
ICPRICPR-2008-MinOS #symmetry
Asymmetric post-processing for stereo correspondence (DBM, JO, KS), pp. 1–4.
SIGIRSIGIR-2008-HeMO08a #ranking #using
Ranking opinionated blog posts using OpinionFinder (BH, CM, IO), pp. 727–728.
RERE-2008-MaderGP #maintenance #rule-based #traceability
Rule-Based Maintenance of Post-Requirements Traceability Relations (PM, OG, IP), pp. 23–32.
LCTESLCTES-2008-BachirTC
Post-pass periodic register allocation to minimise loop unrolling degree (MB, SAAT, AC), pp. 141–150.
MBTMBT-2008-FalconeMFR #java #named #testing
j-POST: a Java Toolchain for Property-Oriented Software Testing (YF, LM, JCF, JLR), pp. 29–41.
DACDAC-2007-LiuS #predict #process #scalability #statistics
Confidence Scalable Post-Silicon Statistical Delay Prediction under Process Variations (QL, SSS), pp. 497–502.
DACDAC-2007-SinghalBSLNC #analysis #modelling #simulation
Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation (RS, AB, ARS, FL, SRN, YC), pp. 823–828.
ICDARICDAR-2007-NamboodiriNJ #on the #using
On Using Classical Poetry Structure for Indian Language Post-Processing (AMN, PJN, CVJ), pp. 1238–1242.
ICPCICPC-2007-ZhangI #analysis #fault
Error Report Driven Post-Mortem Analysis (YZ, SPI), pp. 208–220.
HCIHCI-IDU-2007-Forsman #experience #human-computer #research #tool support #using
After Hurricane Katrina: Post Disaster Experience Research Using HCI Tools and Techniques (CF), pp. 78–87.
MLDMMLDM-2007-LiuYWZ #rank
A Link-Based Rank of Postings in Newsgroup (HL, JY, JW, YZ), pp. 392–403.
SACSAC-2006-AsplundN #consistency #maintenance #protocol
Post-partition reconciliation protocols for maintaining consistency (MA, SNT), pp. 710–717.
CGOCGO-2006-LupoW #optimisation
Post Register Allocation Spill Code Optimization (CL, KDW), pp. 245–255.
DACDAC-2005-GuptaKKS #analysis
Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions (PG, ABK, YK, DS), pp. 365–368.
DACDAC-2005-NieKT #incremental #layout
A watermarking system for IP protection by a post layout incremental router (TN, TK, MT), pp. 218–221.
DACDAC-2005-YangCS #analysis
Advanced timing analysis based on post-OPC extraction of critical dimensions (JY, LC, DS), pp. 359–364.
ICDARICDAR-2005-RinglstetterSML #fault
The Same is Not The same — Post Correction of Alphabet Confusion Errors in Mixed-Alphabet OCR Recognation (CR, KUS, SM, KL), pp. 406–410.
SIGMODSIGMOD-2005-ChiticariuTV #database #named #relational
DBNotes: a post-it system for relational databases based on provenance (LC, WCT, GV), pp. 942–944.
CSEETCSEET-2005-WangS #analysis #architecture #student #using
Using Post Mortem Analysis to Evaluate Software Architecture Student Projects (AIW, TS), pp. 43–50.
SASSAS-2005-JungKSY #analysis #c #statistics
Taming False Alarms from a Domain-Unaware C Analyzer by a Bayesian Statistical Post Analysis (YJ, JK, JS, KY), pp. 203–217.
SEKESEKE-2005-Lin
A Post-auction Negotiation Mechanism for Electronic Marketplace (RJL), pp. 19–24.
RERE-2005-ToyamaO #rule-based #verification
Rule-based Verification of Scenarios with Pre-conditions and Post-conditions (TT, AO), pp. 319–328.
SACSAC-2005-QianZQ #classification #image
Spatial contextual noise removal for post classification smoothing of remotely sensed images (YQ, KZ, FQ), pp. 524–528.
DACDAC-2004-CaoK #logic #optimisation
Post-layout logic optimization of domino circuits (AC, CKK), pp. 820–825.
DATEDATE-DF-2004-DaglioIRRS #component #performance #simulation
Building the Hierarchy from a Flat Netlist for a Fast and Accurate Post-Layout Simulation with Parasitic Components (PD, DI, DR, CR, SS), pp. 336–337.
DATEDATE-v1-2004-Wang #learning #simulation #validation
Regression Simulation: Applying Path-Based Learning In Delay Test and Post-Silicon Validation (LCW), pp. 692–695.
DocEngDocEng-2004-WatanabeSYO #using
A question answer system using mails posted to a mailing list (YW, KS, KY, YO), pp. 67–73.
ITiCSEITiCSE-2004-Stepney #education
Teaching post-classical computation: (extended abstract) (SS), p. 3.
ITiCSEITiCSE-WGR-2004-LeBlancD #education #why
Bioinformatics and computing curricula 2001: why computer science is well positioned in a post-genomic world (MDL, BDD), pp. 64–68.
DLTDLT-2004-Potapov #automaton #matrix #multi #problem #reachability
From Post Systems to the Reachability Problems for Matrix Semigroups and Multicounter Automata (IP), pp. 345–356.
IFMIFM-2004-CurzonB #case study #design #fault
Formally Justifying User-Centred Design Rules: A Case Study on Post-completion Errors (PC, AB), pp. 461–480.
ICEISICEIS-v1-2004-SammonAC #comprehension #enterprise
Understanding the ERP Post-Implementation Discourse (DS, FA, FC), pp. 466–472.
ICPRICPR-v2-2004-LiT #modelling #recognition #set
Influence of Language Models and Candidate Set Size on Contextual Post-processing for Chinese Script Recognition (YL, CLT), pp. 537–540.
CGOCGO-2004-LukMPCL #architecture #named
Ispike: A Post-link Optimizer for the Intel®Itanium®Architecture (CKL, RM, HP, RSC, PGL), pp. 15–26.
DACDAC-2003-BecerBAPOZH #reduction
Post-route gate sizing for crosstalk noise reduction (MRB, DB, IA, RP, CO, VZ, INH), pp. 954–957.
DACDAC-2003-HuangC #embedded #framework #using #verification
Using embedded infrastructure IP for SOC post-silicon verification (YH, WTC), pp. 674–677.
DATEDATE-2003-SeidlEJ #using
Performance-Directed Retiming for FPGAs Using Post-Placement Delay Information (US, KE, FMJ), pp. 10770–10777.
ICDARICDAR-2003-CarbonnelA #optimisation #recognition #word
Lexical Post-Processing Optimization for Handwritten Word Recognition (SC, ÉA), pp. 477–481.
ICDARICDAR-2003-PitrelliP #recognition #verification
Confidence-Scoring Post-Processing for Off-Line Handwritten-Character Recognition Verification (JFP, MPP), p. 278–?.
KDDKDD-2003-FramAD #data mining #empirical #mining #safety
Empirical Bayesian data mining for discovering patterns in post-marketing drug safety (DMF, JSA, WD), pp. 359–368.
DATEDATE-2002-Man #complexity #integration #on the
On Nanoscale Integration and Gigascale Complexity in the Post.Com World (HDM), p. 12.
ICSMEICSM-2002-HarmanHHMZDOW #algorithm
A Post-Placement Side-Effect Removal Algorithm (MH, LH, RMH, MM, XZ, JJD, MCO, JW), pp. 2–11.
WCREWCRE-2002-TanT #fault #program analysis #transaction #verification
Verifying Provisions for Post-Transaction User Input Error Correction through Static Program Analysis (HBKT, NLT), p. 233–?.
PLDIPLDI-2002-LiaoWWSHL #adaptation
Post-Pass Binary Adaptation for Software-Based Speculative Precomputation (SWL, PHW, HW, JPS, GH, DML), pp. 117–128.
ICEISICEIS-2002-Bravo
Cache Post-Relational Technology (CLB), p. 33.
ICPRICPR-v3-2002-KimCS #performance #recognition #string
Post-Processing Scheme for Improving Recognition Performance of Touching Handwritten Numeral Strings (KKK, YC, CYS), pp. 327–330.
DocEngDocEng-2001-ProbetsMEB
Vector graphics: from PostScript and Flash to SVG (SGP, JCM, DRE, DFB), pp. 135–143.
ICDARICDAR-2001-LehalSL
A Shape Based Post Processor for Gurmukhi OCR (GSL, CS, RL), pp. 1105–1109.
ITiCSEITiCSE-2001-JoyceY01a
Electronic discussion boards: their use in post graduate computing courses (DJ, AY), p. 182.
ICSMEICSM-2001-HarmanHFDH #slicing
Pre/Post Conditioned Slicing (MH, RMH, CF, SD, JH), pp. 138–147.
CHICHI-2001-GreenbergR
The notification collage: posting information to public and personal displays (SG, MR), pp. 514–521.
VISSOFTSVIS-2001-DiehlGK #algorithm
Animating Algorithms Live and Post Mortem (SD, CG, AK), pp. 46–57.
ICMLICML-2001-Thollard #algorithm #grammar inference #probability
Improving Probabilistic Grammatical Inference Core Algorithms with Post-processing Techniques (FT), pp. 561–568.
SEKESEKE-2001-TanTL #design #fault #transaction
Design for Post-Transaction Input Error Handling (HBKT, NLT, YL), pp. 212–219.
RERE-2001-LutzM #evolution #requirements #safety
Evolution of Safety-Critical Requirements Post-Launch (RRL, ICM), pp. 222–227.
DACDAC-2000-ChangCSM #detection #functional #performance #symmetry #using
Fast post-placement rewiring using easily detectable functional symmetries (CWJC, CKC, PS, MMS), pp. 286–289.
CHICHI-2000-Beaudouin-Lafon #design #interactive #user interface
Instrumental interaction: an interaction model for designing post-WIMP user interfaces (MBL), pp. 446–453.
ICPRICPR-v2-2000-TsangC
A Structural Deformable Model with Application to Post-Recognition of Handwritings (CKYT, KFLC), pp. 2129–2132.
ICPRICPR-v4-2000-Perez-CortesAAL #parsing #probability
Stochastic Error-Correcting Parsing for OCR Post-Processing (JCPC, JCA, JA, RL), pp. 4405–4408.
POPLPOPL-2000-EsparzaP #algorithm #graph #interprocedural #parallel #performance
Efficient Algorithms for pre* and post* on Interprocedural Parallel Flow Graphs (JE, AP), pp. 1–11.
DATEDATE-1999-MansouriV #design #verification
Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs (NM, RV), p. 223–?.
DATEDATE-1999-NagC
Post-Placement Residual-Overlap Removal with Minimal Movement (SN, KC), pp. 581–586.
ITiCSEITiCSE-1999-YoungJ #education
Innovation in post graduate computer science education (AY, DJ), p. 205.
HCIHCI-EI-1999-Mohageg #design #user interface
User Interface Design in the Post-PC Era (MFM), pp. 1137–1142.
LOPSTRLOPSTR-1998-BossiR #logic programming #source code #specification
Specialising Logic Programs with Respect to Call?Post Specifications (AB, SR), pp. 159–178.
SACSAC-1998-PandaG #bibliography #information management
An overview of post information warfare data recovery (BP, JG), pp. 253–254.
ICSEICSE-1998-ClarkDB #architecture
Calibrating the COCOMO II Post-Architecture Model (BC, SDC, BWB), pp. 477–480.
CAVCAV-1998-HenzingerKQ #model checking
From Pre-historic to Post-modern Symbolic Model Checking (TAH, OK, SQ), pp. 195–206.
DACDAC-1997-JiangKCM #logic #optimisation #performance
Post-Layout Logic Restructuring for Performance Optimization (YMJ, AK, KTC, MMS), pp. 662–665.
ITiCSEITiCSE-WGR-1997-DagdilelisS #programming #using
Using Emil Post’s machine for an introduction to formal programming (poster) (VD, MS), p. 147.
HCIHCI-CC-1997-TakadaTS
Post Agreement Utterance: Communications Specific to Media Conferences (KT, HT, YS), pp. 41–44.
DACDAC-1996-SatoKEM #design #optimisation
Post-Layout Optimization for Deep Submicron Design (KS, MK, HE, NM), pp. 740–745.
DACDAC-1996-WunderLM #concept #layout #modelling #named #simulation
VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems (BW, GL, KDMG), pp. 119–124.
ICDARICDAR-v2-1995-WiedenhiferHD #automation
Post-processing of OCR results for automatic indexing (LW, HGH, AD), pp. 592–596.
DLTDLT-1995-Lipponen #on the #problem
On F-Prime Solutions of the Post Correspondence Problem (ML), pp. 139–147.
ICALPICALP-1995-Lipponen #problem #word
Post Correspondence Problem: Words Possible as Primitive Solutions (ML), pp. 63–74.
DACDAC-1994-KannanSF #algorithm #optimisation
A Methodology and Algorithms for Post-Placement Delay Optimization (LNK, PS, HGF), pp. 327–332.
CSEETCSEE-1994-AmarBGJ #collaboration #education
Implication of Practitioners in a Post-Graduate Curriculum, a Successful Collaboration (BA, YB, JG, JPJ), pp. 251–261.
ICDARICDAR-1993-HochK #clustering #on the #recognition #scalability
On virtual partitioning of large dictionaries for contextual post-processing to improve character recognition (RH, TK), pp. 226–231.
ICDARICDAR-1993-MarukawaKSF #recognition #using
A post-processing method for handwritten Kanji name recognition using Furigana information (KM, MK, YS, HF), pp. 218–221.
ICSEICSE-1993-BhandariR #comparative #evaluation #feedback
Post-Process Feedback With and Without Attribute Focusing: A Comparative Evaluation (ISB, NR), pp. 89–98.
POPLPOPL-1992-Gupta
Generalized Dominators and Post-Dominators (RG), pp. 246–257.
SIGIRSIGIR-1991-Aalbersberg #retrieval
Posting Compression in Dynamic Retrieval Environments (IJA), pp. 72–81.
FMVDME-1990-Lange #approach #formal method #hypermedia #prototype #specification #using
A Formal Approach to Hypertext using Post-Prototype Formal Specification (DBL), pp. 99–121.
SIGIRSIGIR-1990-Stanfill #information retrieval #parallel
Partitioned Posting Files: A Parallel Inverted File Structure for Information Retrieval (CS), pp. 413–428.
LICSLICS-1989-Davis
Emil Post’s Contributions to Computer Science (MD), pp. 134–136.
HCIHCI-SES-1987-KaplanD #implementation #information management
Job-Related Responses to a Clinical Laboratory Computer Information System Seven Months Post Implementation (BK, DD), pp. 17–24.
STOCSTOC-1985-Clarkson #algorithm #probability #problem
A Probabilistic Algorithm for the Post Office Problem (KLC), pp. 175–184.
ICALPICALP-1981-EhrenfeuchtR #on the #problem
On the (Generalized) Post Correspondence Problem with Lists of Length 2 (AE, GR), pp. 408–416.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.