BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
context (160)
analysi (115)
base (46)
cost (46)
flow (44)

Stem sensit$ (all stems)

497 papers:

SIGMODSIGMOD-2015-MeneghettiMCC #evaluation #query
Output-sensitive Evaluation of Prioritized Skyline Queries (NM, DM, PC, JC), pp. 1955–1967.
SANERSANER-2015-MedicherlaK #analysis #approximate #precise #scalability
Precision vs. scalability: Context sensitive analysis with prefix approximation (RKM, RK), pp. 281–290.
PEPMPEPM-2015-HoekH #analysis #php
Object-sensitive Type Analysis of PHP (HEVdH, JH), pp. 9–20.
PLDIPLDI-2015-DingAVSOA #algorithm
Autotuning algorithmic choice for input sensitivity (YD, JA, KV, XS, UMO, SPA), pp. 379–390.
ICALPICALP-v1-2015-MolinaroWY #complexity
Amplification of One-Way Information Complexity via Codes and Noise Sensitivity (MM, DPW, GY), pp. 960–972.
CHICHI-2015-HoyleTACK #analysis #privacy #smarttech
Sensitive Lifelogs: A Privacy Analysis of Photos from Wearable Cameras (RH, RT, DLA, DJC, AK), pp. 1645–1648.
AdaEuropeAdaEurope-2015-JaradatBP #analysis #maintenance #safety #using
Using Sensitivity Analysis to Facilitate the Maintenance of Safety Cases (OJ, IB, SP), pp. 162–176.
ICEISICEIS-v1-2015-SirohiS #multitenancy #optimisation #performance #query #sql
Context-sensitive Indexes in RDBMS for Performance Optimization of SQL Queries in Multi-tenant/Multi-application Environments (AKS, VS), pp. 259–270.
ICEISICEIS-v2-2015-SmirnovP #architecture #hybrid #network #peer-to-peer #privacy #recommendation
Privacy-preserving Hybrid Peer-to-Peer Recommendation System Architecture — Locality-Sensitive Hashing in Structured Overlay Network (AVS, AP), pp. 532–542.
ECIRECIR-2015-BadacheB #documentation #social
Document Priors Based On Time-Sensitive Social Signals (IB, MB), pp. 617–622.
ECIRECIR-2015-GaillardR #adaptation #collaboration #matrix
Time-Sensitive Collaborative Filtering through Adaptive Matrix Completion (JG, JMR), pp. 327–332.
KDDKDD-2015-OkumuraST #analysis #classification #incremental #linear #problem
Quick Sensitivity Analysis for Incremental Data Modification and Its Application to Leave-one-out CV in Linear Classification Problems (SO, YS, IT), pp. 885–894.
SIGIRSIGIR-2015-CatenaMT #cpu #power management #web
Load-sensitive CPU Power Management for Web Search Engines (MC, CM, NT), pp. 751–754.
SIGIRSIGIR-2015-GaoO #correlation
A Head-Weighted Gap-Sensitive Correlation Coefficient (NG, DWO), pp. 799–802.
ICMTICMT-J-2012-VarroDWS15 #algorithm #emf #generative #modelling #pattern matching
An algorithm for generating model-sensitive search plans for pattern matching on EMF models (GV, FD, MW, AS), pp. 597–621.
ECOOPECOOP-2015-Alimadadi0P #hybrid #impact analysis #javascript
Hybrid DOM-Sensitive Change Impact Analysis for JavaScript (SA, AM, KP), pp. 321–345.
ECOOPECOOP-2015-ParkR #javascript #precise #scalability #static analysis
Scalable and Precise Static Analysis of JavaScript Applications via Loop-Sensitivity (CP, SR), pp. 735–756.
ECOOPECOOP-2015-WeiR #adaptation #analysis #javascript
Adaptive Context-sensitive Analysis for JavaScript (SW, BGR), pp. 712–734.
POPLPOPL-2015-TangWZXZM #analysis #data flow
Summary-Based Context-Sensitive Data-Dependence Analysis in Presence of Callbacks (HT, XW, LZ, BX, LZ, HM), pp. 83–95.
SACSAC-2015-VilelaCPSCL #behaviour #modelling
Deriving the behavior of context-sensitive systems from contextual goal models (JV, JBdC, JP, MS, PC, ML), pp. 1397–1400.
ESEC-FSEESEC-FSE-2015-HuangR #branch
Finding schedule-sensitive branches (JH, LR), pp. 439–449.
ICSEICSE-v1-2015-AvdiienkoKGZARB #mining
Mining Apps for Abnormal Usage of Sensitive Data (VA, KK, AG, AZ, SA, SR, EB), pp. 426–436.
ICSEICSE-v2-2015-Avdiienko #mining
Mining Patterns of Sensitive Data Usage (VA), pp. 891–894.
ICSEICSE-v2-2015-BarnBR #on the #re-engineering
On the Role of Value Sensitive Concerns in Software Engineering Practice (BSB, RB, FR), pp. 497–500.
ASPLOSASPLOS-2015-ZhangWSM #data flow #design #hardware #information management #security
A Hardware Design Language for Timing-Sensitive Information-Flow Security (DZ, YW, GES, ACM), pp. 503–516.
CGOCGO-2015-NagarajG #analysis #approximate #mining #pointer #using
Approximating flow-sensitive pointer analysis using frequent itemset mining (VN, RG), pp. 225–234.
ICSTICST-2015-PankumhangR #test coverage
Iterative Instrumentation for Code Coverage in Time-Sensitive Systems (TP, MR), pp. 1–10.
VMCAIVMCAI-2015-NagarS #analysis #using
Path Sensitive Cache Analysis Using Cache Miss Paths (KN, YNS), pp. 43–60.
DACDAC-2014-LiuF
A Time-Unrolling Method to Compute Sensitivity of Dynamic Systems (FL, PF), p. 6.
DATEDATE-2014-ChenCT #performance #simulation
An activity-sensitive contention delay model for highly efficient deterministic full-system simulations (SYC, CHC, RST), pp. 1–6.
DATEDATE-2014-GhalatyAS #analysis #fault
Analyzing and eliminating the causes of fault sensitivity analysis (NFG, AA, PS), pp. 1–6.
DATEDATE-2014-UbolliGBC #linear #megamodelling
Sensitivity-based weighting for passivity enforcement of linear macromodels in power integrity applications (AU, SGT, MB, AC), pp. 1–6.
SIGMODSIGMOD-2014-GaoJLO #named
DSH: data sensitive hashing for high-dimensional k-nnsearch (JG, HVJ, WL, BCO), pp. 1127–1138.
VLDBVLDB-2014-ProserpioGM #data analysis
Calibrating Data to Sensitivity in Private Data Analysis (DP, SG, FM), pp. 637–648.
FoSSaCSFoSSaCS-2014-KobayashiIT #higher-order
Unsafe Order-2 Tree Languages Are Context-Sensitive (NK, KI, TT), pp. 149–163.
ICPCICPC-2014-GrechanikMDPG #information management
Redacting sensitive information in software artifacts (MG, CM, TD, DP, MG), pp. 314–325.
ICSMEICSME-2014-AsaduzzamanRSH #code completion #named #performance
CSCC: Simple, Efficient, Context Sensitive Code Completion (MA, CKR, KAS, DH), pp. 71–80.
ICSMEICSME-2014-AsaduzzamanRSH14a #api #code completion #usability
Context-Sensitive Code Completion Tool for Better API Usability (MA, CKR, KAS, DH), pp. 621–624.
SCAMSCAM-2014-CaiJSZZ #analysis #named #predict
SENSA: Sensitivity Analysis for Quantitative Change-Impact Prediction (HC, SJ, RAS, YJZ, YZ), pp. 165–174.
PLDIPLDI-2014-ArztRFBBKTOM #analysis #android #named #precise
FlowDroid: precise context, flow, field, object-sensitive and lifecycle-aware taint analysis for Android apps (SA, SR, CF, EB, AB, JK, YLT, DO, PM), p. 29.
PLDIPLDI-2014-OhLHYY #context-sensitive grammar
Selective context-sensitivity guided by impact pre-analysis (HO, WL, KH, HY, KY), p. 49.
PLDIPLDI-2014-SmaragdakisKB #analysis #context-sensitive grammar
Introspective analysis: context-sensitivity, across the board (YS, GK, GB), p. 50.
SASSAS-2014-AbdullaHH #exclamation #verification
Block Me If You Can! — Context-Sensitive Parameterized Verification (PAA, FH, LH), pp. 1–17.
SASSAS-2014-YeSX #analysis #pointer
Region-Based Selective Flow-Sensitive Pointer Analysis (SY, YS, JX), pp. 319–336.
STOCSTOC-2014-GoosP #bound #communication
Communication lower bounds via critical block sensitivity (MG, TP), pp. 847–856.
STOCSTOC-2014-Kane
The average sensitivity of an intersection of half spaces (DMK), pp. 437–440.
ICALPICALP-v1-2014-AmbainisBGMSZ #complexity #metric
Tighter Relations between Sensitivity and Other Complexity Measures (AA, MB, YG, JM, XS, SZ), pp. 101–113.
CHICHI-2014-CraggsSA #crowdsourcing #named #query #semantics #video #web
ThumbReels: query sensitive web video previews based on temporal, crowdsourced, semantic tagging (BC, MKS, JA), pp. 1217–1220.
CHICHI-2014-RendlGPBH #gesture #multi #named
Presstures: exploring pressure-sensitive multi-touch gestures on trackpads (CR, PG, KP, MB, MH), pp. 431–434.
CIKMCIKM-2014-CaiLR #personalisation #query
Time-sensitive Personalized Query Auto-Completion (FC, SL, MdR), pp. 1599–1608.
ECIRECIR-2014-DincerOM #evaluation #retrieval
Tackling Biased Baselines in the Risk-Sensitive Evaluation of Retrieval Systems (BTD, IO, CM), pp. 26–38.
ECIRECIR-2014-McDonaldMOG #bibliography #classification #perspective #towards
Towards a Classifier for Digital Sensitivity Review (GM, CM, IO, TG), pp. 500–506.
ICMLICML-c1-2014-LiL #classification #multi
Condensed Filter Tree for Cost-Sensitive Multi-Label Classification (CLL, HTL), pp. 423–431.
ICMLICML-c2-2014-BeijbomSKV #multi
Guess-Averse Loss Functions For Cost-Sensitive Multiclass Boosting (OB, MJS, DJK, NV), pp. 586–594.
ICPRICPR-2014-HuynhR #image
Recovery of Spectral Sensitivity Functions from a Colour Chart Image under Unknown Spectrally Smooth Illumination (CPH, ARK), pp. 708–713.
ICPRICPR-2014-LuWL #recognition
Cost-Sensitive Transformation for Chinese Address Recognition (SL, XW, YL), pp. 2897–2902.
ICPRICPR-2014-XuRVL #adaptation #multi
Cost-Sensitive Structured SVM for Multi-category Domain Adaptation (JX, SR, DV, AML), pp. 3886–3891.
KDIRKDIR-2014-HasnaMDP #recommendation #sentiment
Sentiment Polarity Extension for Context-Sensitive Recommender Systems (OLH, FCM, MD, RP), pp. 126–137.
MLDMMLDM-2014-WaiyamaiS #approach #classification #dataset
A Cost-Sensitive Based Approach for Improving Associative Classification on Imbalanced Datasets (KW, PS), pp. 31–42.
SIGIRSIGIR-2014-DincerMO #evaluation #retrieval #testing
Hypothesis testing for the risk-sensitive evaluation of retrieval systems (BTD, CM, IO), pp. 23–32.
SIGIRSIGIR-2014-ZhaoH #information retrieval #probability #proximity
An enhanced context-sensitive proximity model for probabilistic information retrieval (JZ, JXH), pp. 1131–1134.
ECOOPECOOP-2014-WeiR #analysis #behaviour #javascript #points-to
State-Sensitive Points-to Analysis for the Dynamic Behavior of JavaScript Objects (SW, BGR), pp. 1–26.
RERE-2014-Ionita #evaluation #identification #information management #risk management #security
Context-sensitive Information security Risk identification and evaluation techniques (DI), pp. 485–488.
FSEFSE-2014-JaffarM #control flow #graph #slicing
A path-sensitively sliced control flow graph (JJ, VM), pp. 133–143.
FSEFSE-2014-LerchHBM #analysis #named #performance #scalability
FlowTwist: efficient context-sensitive inside-out taint analysis for large codebases (JL, BH, EB, MM), pp. 98–108.
LCTESLCTES-2014-RoyRWW #analysis #approximate #automation #named
ASAC: automatic sensitivity analysis for approximate computing (PR, RR, CW, WFW), pp. 95–104.
RTARTA-TLCA-2014-HirokawaM #analysis #automation #complexity
Automated Complexity Analysis Based on Context-Sensitive Rewriting (NH, GM), pp. 257–271.
CASECASE-2013-Nieves-RiveraXJMZ #automation #development
Development of a position sensitive device and control method for automated robot calibration (ENR, NX, YJ, CM, GZ), pp. 1127–1132.
DATEDATE-2013-BoleyCAC #analysis #estimation #performance
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write VMIN (JB, VC, RCA, BHC), pp. 1819–1824.
DATEDATE-2013-ChandranSP #validation
Space sensitive cache dumping for post-silicon validation (SC, SRS, PRP), pp. 497–502.
DATEDATE-2013-HuNRK #detection #hardware #multimodal #using
High-sensitivity hardware trojan detection using multimodal characterization (KH, ANN, SR, FK), pp. 1271–1276.
DATEDATE-2013-NeukirchnerQMAE #analysis #realtime
Sensitivity analysis for arbitrary activation patterns in real-time systems (MN, SQ, TM, PA, RE), pp. 135–140.
ICDARICDAR-2013-MondalRRP #kernel #locality #performance #retrieval #word
A Fast Word Retrieval Technique Based on Kernelized Locality Sensitive Hashing (TM, NR, JYR, UP), pp. 1195–1199.
ICDARICDAR-2013-SuDPL #comprehension #documentation #novel #word
Cross-Language Sensitive Words Distribution Map: A Novel Recognition-Based Document Understanding Method for Uighur and Tibetan (BS, XD, LP, CL), pp. 255–259.
SIGMODSIGMOD-2013-GaoLOWC #crowdsourcing #online
An online cost sensitive decision-making method in crowdsourcing systems (JG, XL, BCO, HW, GC), pp. 217–228.
VLDBVLDB-2013-FuKR #on the #scalability
On Scaling Up Sensitive Data Auditing (YF, RK, RR), pp. 313–324.
VLDBVLDB-2013-RekatsinasDM #clustering #multi
A SPARSI: Partitioning Sensitive Data amongst Multiple Adversaries (TR, AD, AM), pp. 1594–1605.
VLDBVLDB-2013-SundaramTSMIMD #parallel #similarity #streaming #twitter #using
Streaming Similarity Search over one Billion Tweets using Parallel Locality-Sensitive Hashing (NS, AT, NS, TM, PI, SM, PD), pp. 1930–1941.
CSMRCSMR-2013-BertranGCS #architecture #detection
Enhancing the Detection of Code Anomalies with Architecture-Sensitive Strategies (IMB, AG, CC, AvS), pp. 177–186.
PLDIPLDI-2013-KastrinisS #analysis #context-sensitive grammar #hybrid #points-to
Hybrid context-sensitivity for points-to analysis (GK, YS), pp. 423–434.
CHICHI-2013-YooHWHF #co-evolution #design #evolution
A value sensitive action-reflection model: evolving a co-design space with stakeholder and designer prompts (DY, AH, JPW, DGH, BF), pp. 419–428.
HCIDUXU-WM-2013-AhramKS #design #modelling #usability
Modeling Consumer Sensitivity for Product Design and Perceived Usability (TZA, WK, NS), pp. 325–333.
CIKMCIKM-2013-BroccoloMOOPST #distributed
Load-sensitive selective pruning for distributed search (DB, CM, SO, IO, RP, FS, NT), pp. 379–388.
CIKMCIKM-2013-ChenW #classification #learning #scalability
Cost-sensitive learning for large-scale hierarchical classification (JC, DW), pp. 1351–1360.
CIKMCIKM-2013-HachenbergG #classification #clustering #documentation #locality #scalability #web
Locality sensitive hashing for scalable structural classification and clustering of web documents (CH, TG), pp. 359–368.
CIKMCIKM-2013-KharitonovMSO #using
Using historical click data to increase interleaving sensitivity (EK, CM, PS, IO), pp. 679–688.
ICMLICML-c1-2013-XuKWC #classification
Cost-Sensitive Tree of Classifiers (ZEX, MJK, KQW, MC), pp. 133–141.
ICMLICML-c3-2013-Agarwal #algorithm #multi #predict
Selective sampling algorithms for cost-sensitive multiclass prediction (AA), pp. 1220–1228.
ICMLICML-c3-2013-PiresSG #bound #classification #multi
Cost-sensitive Multiclass Classification Risk Bounds (BAP, CS, MG), pp. 1391–1399.
KDDKDD-2013-ZhaoH #detection #learning #online
Cost-sensitive online active learning with application to malicious URL detection (PZ, SCHH), pp. 919–927.
OOPSLAOOPSLA-2013-HuangB #analysis #context-sensitive grammar #memory management #performance
Efficient context sensitivity for dynamic analyses via calling context uptrees and customized memory management (JH, MDB), pp. 53–72.
POPLPOPL-2013-Adams #parsing
Principled parsing for indentation-sensitive languages: revisiting landin’s offside rule (MDA), pp. 511–522.
SACSAC-PL-J-2012-HaublWM13 #java
Context-sensitive trace inlining for Java (CH, CW, HM), pp. 123–141.
ICSEICSE-2013-GomezNAM #android #named
RERAN: timing- and touch-sensitive record and replay for Android (LG, IN, TA, TDM), pp. 72–81.
ICSEICSE-2013-ZhengZ #detection #execution #static analysis #web
Path sensitive static analysis of web applications for remote code execution vulnerability detection (YZ, XZ), pp. 652–661.
ISMMISMM-2013-LiCK #analysis #graph #pointer #precise #scalability
Precise and scalable context-sensitive pointer analysis via value flow graph (LL, CC, NK), pp. 85–96.
PPoPPPPoPP-2013-GrassoKCF #automation #clustering #parallel #problem
Automatic problem size sensitive task partitioning on heterogeneous parallel systems (IG, KK, BC, TF), pp. 281–282.
ISSTAISSTA-2013-XiaoHZX #identification #performance
Context-sensitive delta inference for identifying workload-dependent performance bottlenecks (XX, SH, DZ, TX), pp. 90–100.
VMCAIVMCAI-2013-ChristESW #fault #locality
Flow-Sensitive Fault Localization (JC, EE, MS, TW), pp. 189–208.
CASECASE-2012-LinKH #analysis #functional #modelling
Fitting a functional structural plant model based on global sensitivity analysis (YL, MK, JH), pp. 790–795.
DACDAC-2012-MeirR #analysis #biology #named #network #performance #using
BLAST: efficient computation of nonlinear delay sensitivities in electronic and biological networks using barycentric Lagrange enabled transient adjoint analysis (AM, JSR), pp. 301–310.
DACDAC-2012-RoyC #analysis #predict
Predicting timing violations through instruction-level path sensitization analysis (SR, KC), pp. 1074–1081.
DATEDATE-2012-GuerraF #online #scheduling
On-line scheduling of target sensitive periodic tasks with the gravitational task model (RG, GF), pp. 578–581.
DRRDRR-2012-CoetzerSS #collaboration #human-computer #performance #verification
Efficient cost-sensitive human-machine collaboration for offline signature verification (JC, JPS, RS).
SIGMODSIGMOD-2012-GanFFN
Locality-sensitive hashing scheme based on dynamic collision counting (JG, JF, QF, WN), pp. 541–552.
VLDBVLDB-2012-SatuluriP #locality #performance #similarity
Bayesian Locality Sensitive Hashing for Fast Similarity Search (VS, SP), pp. 430–441.
ICSMEICSM-2012-TangWZL #detection #maintenance
Time-leverage point detection for time sensitive software maintenance (ET, LW, JZ, XL), pp. 567–570.
PLDIPLDI-2012-CoppaDF #profiling
Input-sensitive profiling (EC, CD, IF), pp. 89–98.
SASSAS-2012-JaffarMNS #slicing
Path-Sensitive Backward Slicing (JJ, VM, JAN, AES), pp. 231–247.
DLTDLT-2012-Otto #component #on the
On Centralized PC Grammar Systems with Context-Sensitive Components (FO), pp. 356–367.
CHICHI-2012-BorningM #design
Next steps for value sensitive design (AB, MM), pp. 1125–1134.
CHICHI-2012-MullerNRW #design
ICT-development in residential care settings: sensitizing design to the life circumstances of the residents of a care home (CM, CN, DR, VW), pp. 2639–2648.
CIKMCIKM-2012-BahmaniGS #distributed #locality #performance
Efficient distributed locality sensitive hashing (BB, AG, RS), pp. 2174–2178.
CIKMCIKM-2012-BambaSGBF #concept #recommendation #scalability #using
The twitaholic next door.: scalable friend recommender system using a concept-sensitive hash function (PB, JS, CG, NB, JF), pp. 2275–2278.
CIKMCIKM-2012-KanhabuaN #learning #query #rank
Learning to rank search results for time-sensitive queries (NK, KN), pp. 2463–2466.
CIKMCIKM-2012-MagdyAD #social #social media #summary
A summarization tool for time-sensitive social media (WM, AA, KD), pp. 2695–2697.
CIKMCIKM-2012-WanKC #recommendation #social
Location-sensitive resources recommendation in social tagging systems (CW, BK, DWC), pp. 1960–1964.
CIKMCIKM-2012-YinPZH #multi #summary
Query-focused multi-document summarization based on query-sensitive feature space (WY, YP, FZ, LH), pp. 1652–1656.
CIKMCIKM-2012-ZhouLLZ #community #probability #topic
Topic-sensitive probabilistic model for expert finding in question answer communities (GZ, SL, KL, JZ), pp. 1662–1666.
CIKMCIKM-2012-ZhukovskiyVGSR #web
Recency-sensitive model of web page authority (MZ, DV, GG, PS, AMR), pp. 2627–2630.
ICPRICPR-2012-DingLHXW #recognition #video
Context-aware horror video scene recognition via cost-sensitive sparse coding (XD, BL, WH, WX, ZW), pp. 1904–1907.
ICPRICPR-2012-MiaoLZ #fault #feature model #predict
Cost-sensitive feature selection with application in software defect prediction (LM, ML, DZ), pp. 967–970.
KDDKDD-2012-JanWLL #classification
A simple methodology for soft cost-sensitive classification (TKJ, DWW, CHL, HTL), pp. 141–149.
KDIRKDIR-2012-DinsoreanuMHP #approach #recommendation
A Unified Approach for Context-sensitive Recommendations (MD, FCM, OLH, RP), pp. 85–94.
KEODKEOD-2012-SongJ #experience
A Context Sensitive Experience Feeder for Computer Aided Engineering (BS, ZJ), pp. 343–346.
KRKR-2012-DvorakJWW
Complexity-Sensitive Decision Procedures for Abstract Argumentation (WD, MJ, JPW, SW).
SIGIRSIGIR-2012-ChangHYLC #ranking #web
Learning-based time-sensitive re-ranking for web search (PTC, YCH, CLY, SDL, PJC), pp. 1101–1102.
SIGIRSIGIR-2012-ShokouhiR #query
Time-sensitive query auto-completion (MS, KR), pp. 601–610.
SIGIRSIGIR-2012-TureLO #information retrieval
Looking inside the box: context-sensitive translation for cross-language information retrieval (FT, JJL, DWO), pp. 1105–1106.
SIGIRSIGIR-2012-WangBC #modelling #optimisation #ranking #robust
Robust ranking models via risk-sensitive optimization (LW, PNB, KCT), pp. 761–770.
SIGIRSIGIR-2012-XiaWHJ #image #kernel #multi #retrieval #scalability
Boosting multi-kernel locality-sensitive hashing for scalable image retrieval (HX, PW, SCHH, RJ), pp. 55–64.
ICMTICMT-2012-VarroDWS #algorithm #emf #generative #modelling
An Algorithm for Generating Model-Sensitive Search Plans for EMF Models (GV, FD, MW, AS), pp. 224–239.
MODELSMoDELS-2012-WilliamsBPP #analysis #modelling
Sensitivity Analysis in Model-Driven Engineering (JRW, FRB, RFP, FACP), pp. 743–758.
MODELSMoDELS-2012-WilliamsBPP #analysis #modelling
Sensitivity Analysis in Model-Driven Engineering (JRW, FRB, RFP, FACP), pp. 743–758.
ECOOPECOOP-2012-DeD #analysis #java #pointer #scalability
Scalable Flow-Sensitive Pointer Analysis for Java with Strong Updates (AD, DD), pp. 665–687.
QAPLQAPL-2012-PalamidessiS #algebra #bound #constraints #difference #privacy #relational
Differential Privacy for Relational Algebra: Improving the Sensitivity Bounds via Constraint Systems (CP, MS), pp. 92–105.
POPLPOPL-2012-BotincanDJ #abduction
Resource-sensitive synchronization inference by abduction (MB, MD, SJ), pp. 309–322.
SACSAC-2012-DasguptaK #analysis #precise #using
Precise shape analysis using field sensitivity (SD, AK), pp. 1300–1307.
SACSAC-2012-WangYLQG
Hypervisor-based protection of sensitive files in a compromised system (JW, MY, BL, ZQ, HG), pp. 1765–1770.
FSEFSE-2012-MilanovaH
Inference and checking of context-sensitive pluggable types (AM, WH), p. 26.
ICSEICSE-2012-NguyenNNN12a #code completion #graph #named
GraPacc: A graph-based pattern-oriented, context-sensitive code completion tool (ATN, HAN, TTN, TNN), pp. 1407–1410.
ICSEICSE-2012-NguyenNNTNAN #code completion #graph #source code
Graph-based pattern-oriented, context-sensitive source code completion (ATN, TTN, HAN, AT, HVN, JMAK, TNN), pp. 69–79.
SLESLE-2012-ErdwegRKO #generalised parsing #parsing
Layout-Sensitive Generalized Parsing (SE, TR, CK, KO), pp. 244–263.
SPLCSPLC-2012-NunesGLL #evolution #heuristic #product line
History-sensitive heuristics for recovery of features in code of evolving program families (CN, AG, CJPdL, JL), pp. 136–145.
ICLPICLP-2012-SilverthornLS
Surviving Solver Sensitivity: An ASP Practitioner’s Guide (BS, YL, MS), pp. 164–175.
ISSTAISSTA-2012-ZhaiXCT #approach #named #parallel #thread
CARISMA: a context-sensitive approach to race-condition sample-instance selection for multithreaded applications (KZ, BX, WKC, THT), pp. 221–231.
DACDAC-2011-HaddadN #analysis #grid #power management #using
Power grid correction using sensitivity analysis under an RC model (PAH, FNN), pp. 688–693.
DACDAC-2011-ToettcherCTW #analysis #constraints
Biochemical oscillator sensitivity analysis in the presence of conservation constraints (JET, AC, BT, JW), pp. 806–811.
DACDAC-2011-VelamalaLTC #design #logic
Design sensitivity of single event transients in scaled logic circuits (JV, RL, MT, YC), pp. 694–699.
DATEDATE-2011-BarceloGBS #estimation #performance #scalability
An efficient and scalable STA tool with direct path estimation and exhaustive sensitization vector exploration for optimal delay computation (SB, XG, SAB, JS), pp. 1602–1607.
DATEDATE-2011-WuM #analysis #optimisation
Aging-aware timing analysis and optimization considering path sensitization (KCW, DM), pp. 1572–1577.
SIGMODSIGMOD-2011-ChenP #documentation #ranking #retrieval
Context-sensitive ranking for document retrieval (LJC, YP), pp. 757–768.
SIGMODSIGMOD-2011-KanagalLD #analysis #database #evaluation #probability #query #robust
Sensitivity analysis and explanations for robust query evaluation in probabilistic databases (BK, JL, AD), pp. 841–852.
SIGMODSIGMOD-2011-SolimanIMT #metric #nondeterminism #ranking #semantics
Ranking with uncertain scoring functions: semantics and sensitivity measures (MAS, IFI, DM, MT), pp. 805–816.
VLDBVLDB-2011-LeeNS #estimation #locality #similarity #using
Similarity Join Size Estimation using Locality Sensitive Hashing (HL, RTN, KS), pp. 338–349.
CSCWCSCW-2011-AlsheikhRL #case study #design #distance
(Whose) value-sensitive design: a study of long- distance relationships in an Arabic cultural context (TA, JAR, SEL), pp. 75–84.
HCIHIMI-v1-2011-OhoriSNI #analysis
Construction of a Model for Discriminating between Electroencephalographic Patterns at the Time of Incorrect Inputs Based on Sensitivity Spectrum Analysis (RO, DS, YN, SI), pp. 618–626.
CIKMCIKM-2011-PelekisGVKT #privacy #query
Privacy-aware querying over sensitive trajectory data (NP, AGD, MV, DK, YT), pp. 895–904.
ICMLICML-2011-JiangR #feature model
Eigenvalue Sensitive Feature Selection (YJ, JR), pp. 89–96.
ICMLICML-2011-Scott #bound #classification
Surrogate losses and regret bounds for cost-sensitive classification with example-dependent costs (CS), pp. 153–160.
KDDKDD-2011-DasguptaKS #performance
Fast locality-sensitive hashing (AD, RK, TS), pp. 1073–1081.
MLDMMLDM-2011-MohebzadaRR #analysis #constraints #generative
Sensitivity Analysis for Weak Constraint Generation (JGM, MMR, GR), pp. 239–252.
SIGIRSIGIR-2011-TureEL #similarity
No free lunch: brute force vs. locality-sensitive hashing for cross-lingual pairwise similarity (FT, TE, JJL), pp. 943–952.
OOPSLAOOPSLA-2011-AdamsKMMCD #polynomial
Flow-sensitive type recovery in linear-log time (MDA, AWK, JM, MM, AC, RKD), pp. 483–498.
POPLPOPL-2011-SmaragdakisBL #comprehension
Pick your contexts well: understanding object-sensitivity (YS, MB, OL), pp. 17–30.
SACSAC-2011-GuerraF #realtime #resource management
Handling overload of target sensitive real-time applications for increased system utility and improved resource usage (RG, GF), pp. 742–747.
SACSAC-2011-YeHL #approach #network #query
A Bayesian network approach to context sensitive query expansion (ZY, XH, HL), pp. 1138–1142.
ESEC-FSEESEC-FSE-2011-GligoricBJ #mutation testing #named #testing
SMutant: a tool for type-sensitive mutation testing in a dynamic language (MG, SB, RJ), pp. 424–427.
ESEC-FSEESEC-FSE-2011-LiCK #analysis #performance #points-to #using
Boosting the performance of flow-sensitive points-to analysis using value flow (LL, CC, NK), pp. 343–353.
CGOCGO-2011-HardekopfL #analysis #pointer
Flow-sensitive pointer analysis for millions of lines of code (BH, CL), pp. 289–298.
ISSTAISSTA-2011-BernatRM #performance
Efficient, sensitivity resistant binary instrumentation (ARB, KAR, BPM), pp. 89–99.
ISSTAISSTA-2011-NaminK #testing
The use of mutation in testing experiments and its sensitivity to external threats (ASN, SK), pp. 342–352.
ISSTAISSTA-2011-TateishiPT #analysis #higher-order #logic #monad #string
Path- and index-sensitive string analysis based on monadic second-order logic (TT, MP, OT), pp. 166–176.
ISSTAISSTA-2011-XiaoZ #analysis #encoding #geometry #java #performance #points-to
Geometric encoding: forging the high performance context sensitive points-to analysis for Java (XX, CZ), pp. 188–198.
ISSTAISSTA-2011-YanXR #alias #analysis #java
Demand-driven context-sensitive alias analysis for Java (DY, G(X, AR), pp. 155–165.
VMCAIVMCAI-2011-GawlitzaLMSW #analysis #concurrent #process #reachability #source code
Join-Lock-Sensitive Forward Reachability Analysis for Concurrent Programs with Dynamic Process Creation (TMG, PL, MMO, HS, AW), pp. 199–213.
DocEngDocEng-2010-MullerRB #file system
A file-type sensitive, auto-versioning file system (AM, SR, UMB), pp. 271–274.
SIGMODSIGMOD-2010-KimCSSNKLBD #architecture #named #performance
FAST: fast architecture sensitive tree search on modern CPUs and GPUs (CK, JC, NS, ES, ADN, TK, VWL, SAB, PD), pp. 339–350.
SIGMODSIGMOD-2010-ShindeGGD #locality #similarity #using
Similarity search and locality sensitive hashing using ternary content addressable memories (RS, AG, PG, DD), pp. 375–386.
WRLAWRLA-2010-GutierrezL #dependence #framework #proving #termination
Proving Termination in the Context-Sensitive Dependency Pair Framework (RG, SL), pp. 18–34.
ICSMEICSM-2010-FisherDBR #analysis #context-sensitive grammar
Exploring the impact of context sensitivity on blended analysis (MFI, BD, SB, BGR), pp. 1–10.
ICSMEICSM-2010-NunesGL #product line
History-sensitive recovery of product line features (CN, AG, CJPdL), pp. 1–2.
SCAMSCAM-2010-Graf #dependence #generative
Speeding Up Context-, Object- and Field-Sensitive SDG Generation (JG), pp. 105–114.
PEPMPEPM-2010-LakhotiaBSM #analysis #bytecode #obfuscation
Context-sensitive analysis of obfuscated x86 executables (AL, DRB, AS, AM), pp. 131–140.
PLDIPLDI-2010-BondBG #analysis #context-sensitive grammar #debugging #detection #named #performance
Breadcrumbs: efficient context sensitivity for dynamic bug detection analyses (MDB, GZB, SZG), pp. 13–24.
SASSAS-2010-AlbertAGPD #analysis #approach
From Object Fields to Local Variables: A Practical Approach to Field-Sensitive Analysis (EA, PA, SG, GP, DVRD), pp. 100–116.
STOCSTOC-2010-DiakonikolasHKMRST #bound #polynomial
Bounding the average sensitivity and noise sensitivity of polynomial threshold functions (ID, PH, AK, RM, PR, RAS, LYT), pp. 533–542.
CHICHI-2010-MalacriaLG #approach
Clutch-free panning and integrated pan-zoom control on touch-sensitive surfaces: the cyclostar approach (SM, EL, YG), pp. 2615–2624.
ICEISICEIS-AIDSS-2010-HaasMSD #information management #named #using
ConTask — Using Context-sensitive Assistance to Improve Task-oriented Knowledge Work (JH, HM, SS, AD), pp. 30–39.
ICEISICEIS-J-2010-MausSHD #named #semantics
CONTASK: Context-Sensitive Task Assistance in the Semantic Desktop (HM, SS, JH, AD), pp. 177–192.
CIKMCIKM-2010-DuNL #adaptation #learning
Adapting cost-sensitive learning for reject option (JD, EAN, CXL), pp. 1865–1868.
CIKMCIKM-2010-ShiXF
Anonymizing data with quasi-sensitive attribute values (PS, LX, BCMF), pp. 1389–1392.
ICMLICML-2010-Masnadi-ShiraziV #elicitation #probability
Risk minimization, probability elicitation, and cost-sensitive SVMs (HMS, NV), pp. 759–766.
ICMLICML-2010-TuL #classification #multi
One-sided Support Vector Regression for Multiclass Cost-sensitive Classification (HHT, HTL), pp. 1095–1102.
ICPRICPR-2010-JinLLWG #ranking #topic
Topic-Sensitive Tag Ranking (YJ, RL, ZL, KW, XG), pp. 629–632.
ICPRICPR-2010-KhushabaEAAM #analysis #fuzzy #locality #orthogonal
Orthogonal Locality Sensitive Fuzzy Discriminant Analysis in Sleep-Stage Scoring (RNK, RE, AA, AAA, SM), pp. 165–168.
ICPRICPR-2010-PaclikLLD #analysis #classification #optimisation
ROC Analysis and Cost-Sensitive Optimization for Hierarchical Classifiers (PP, CL, TL, RPWD), pp. 2977–2980.
KDDKDD-2010-AgarwalCE #learning #online #performance #recommendation
Fast online learning through offline initialization for time-sensitive recommendation (DA, BCC, PE), pp. 703–712.
KDDKDD-2010-BhaskarLST
Discovering frequent patterns in sensitive data (RB, SL, AS, AT), pp. 503–512.
KDIRKDIR-2010-GokceA #algorithm #trade-off
A Tradeoff Balancing Algorithm for Hiding Sensitive Frequent Itemsets (HG, OA), pp. 200–205.
SIGIRSIGIR-2010-RadlinskiC #information retrieval #metric
Comparing the sensitivity of information retrieval metrics (FR, NC), pp. 667–674.
SACSAC-2010-CampanTC #bound
User-controlled generalization boundaries for p-sensitive k-anonymity (AC, TMT, NC), pp. 1103–1104.
SACSAC-2010-DelisVT #approach #classification
A data perturbation approach to sensitive classification rule hiding (AD, VSV, AAT), pp. 605–609.
SACSAC-2010-LlorensOST #algorithm #control flow #graph
An algorithm to generate the context-sensitive synchronized control flow graph (ML, JO, JS, ST), pp. 2144–2148.
SACSAC-2010-TangJ #c++ #concept #optimisation
Generic flow-sensitive optimizing transformations in C++ with concepts (XT, JJ), pp. 2111–2118.
FSEFSE-2010-LitvakDBRS #analysis #dependence
Field-sensitive program dependence analysis (SL, ND, RB, NR, MS), pp. 287–296.
CGOCGO-2010-YuXHFZ #analysis #pointer #scalability
Level by level: making flow- and context-sensitive pointer analysis scalable for millions of lines of code (HY, JX, WH, XF, ZZ), pp. 218–229.
WICSA-ECSAWICSA-ECSA-2009-KeulerW #architecture #design #synthesis
Interaction-sensitive synthesis of architectural tactics in connector designs (TK, CW), pp. 321–324.
ASEASE-2009-WangC #context-sensitive grammar #testing #weaving
Weaving Context Sensitivity into Test Suite Construction (HW, WKC), pp. 610–614.
DACDAC-2009-El-MoselhyED #algorithm #performance
An efficient resistance sensitivity extraction algorithm for conductors of arbitrary shapes (TAEM, IME, BD), pp. 770–775.
DACDAC-2009-JuHCR #analysis #source code
Context-sensitive timing analysis of Esterel programs (LJ, BKH, SC, AR), pp. 870–873.
DATEDATE-2009-CostaM #approach #embedded
A MILP-based approach to path sensitization of embedded software (JCC, JCM), pp. 1568–1571.
ICDARICDAR-2009-MansjurWJ #automation #categorisation #classification #kernel #learning #topic #using
Using Kernel Density Classifier with Topic Model and Cost Sensitive Learning for Automatic Text Categorization (DSM, TSW, BHJ), pp. 1086–1090.
SIGMODSIGMOD-2009-KimC #documentation #named #performance #query #retrieval
Skip-and-prune: cosine-based top-k query processing for efficient context-sensitive document retrieval (JWK, KSC), pp. 115–126.
SIGMODSIGMOD-2009-XiaoWG #interactive
Interactive anonymization of sensitive data (XX, GW, JG), pp. 1051–1054.
ICPCICPC-2009-EdwardsWSG #feature model
Instrumenting time-sensitive software for feature location (DE, NW, SS, EG), pp. 130–137.
FMFM-2009-AlbertAGP #analysis
Field-Sensitive Value Analysis by Field-Insensitive Analysis (EA, PA, SG, GP), pp. 370–386.
SEFMSEFM-2009-BuiN #heuristic #model checking
Heuristic Sensitivity in Guided Random-Walk Based Model Checking (THB, AN), pp. 125–134.
CHICHI-2009-DantecPW #design #evolution #experience
Values as lived experience: evolving value sensitive design in support of value discovery (CALD, ESP, SW), pp. 1141–1150.
HCIDHM-2009-SchwartzeFA #behaviour #smarttech #user interface
Behavior-Sensitive User Interfaces for Smart Environments (VS, SF, SA), pp. 305–314.
HCIHCI-AUII-2009-WelchLLWSW #artificial reality #interactive #paradigm #social
An Affect-Sensitive Social Interaction Paradigm Utilizing Virtual Reality Environments for Autism Intervention (KCW, UL, CL, RW, NS, ZW), pp. 703–712.
HCIHIMI-DIE-2009-NakagawaNM #using
Determination of Inspection Threshold Using Perceptive Sensitivities of Experienced Panel (MN, HN, TM), pp. 279–286.
HCIHIMI-DIE-2009-TsetserukouNPKIT #communication #exclamation
iFeel_IM! Emotion Enhancing Garment for Communication in Affect Sensitive Instant Messenger (DT, AN, HP, NK, MI, ST), pp. 628–637.
CIKMCIKM-2009-ChangYQ #documentation #ranking
Context-sensitive document ranking (LC, JXY, LQ), pp. 1533–1536.
CIKMCIKM-2009-WeiPTLD #query #web
Context sensitive synonym discovery for web search queries (XW, FP, HT, YL, BD), pp. 1585–1588.
ICMLICML-2009-WagstaffB #evaluation
K-means in space: a radiation sensitivity evaluation (KLW, BJB), pp. 1097–1104.
KDDKDD-2009-LiDJEL #random #recommendation
Grocery shopping recommendations based on basket-sensitive random walk (ML, MBD, IHJ, WED, PJGL), pp. 1215–1224.
SIGIRSIGIR-2009-LiLLL #framework #information retrieval #probability #ranking #topic
A probabilistic topic-based ranking framework for location-sensitive domain information retrieval (HL, ZL, WCL, DLL), pp. 331–338.
SIGIRSIGIR-2009-LiuNVA #collaboration #information management
Is this urgent?: exploring time-sensitive information needs in collaborative question answering (YL, NN, VV, EA), pp. 712–713.
ECOOPECOOP-2009-FischerMMM #data access #fine-grained
Fine-Grained Access Control with Object-Sensitive Roles (JF, DM, RM, TDM), pp. 173–194.
ECOOPECOOP-2009-XuRS #alias #analysis #points-to #scalability #using
Scaling CFL-Reachability-Based Points-To Analysis Using Context-Sensitive Must-Not-Alias Analysis (G(X, AR, MS), pp. 98–122.
PPDPPPDP-2009-PhanJ #analysis #source code
Path-sensitive region analysis for mercury programs (QP, GJ), pp. 161–170.
POPLPOPL-2009-HardekopfL #analysis #pointer
Semi-sparse flow-sensitive pointer analysis (BH, CL), pp. 226–238.
RERE-2009-FailyF #requirements #risk management
Context-Sensitive Requirements and Risk Management with IRIS (SF, IF), pp. 379–380.
ICSEICSE-2009-GeayPTRD #analysis #composition #precise #string
Modular string-sensitive permission analysis with demand-driven precision (EG, MP, TT, BGR, JD), pp. 177–187.
CGOCGO-2009-Bronevetsky #data flow #message passing #parallel
Communication-Sensitive Static Dataflow for Parallel Message Passing Applications (GB), pp. 1–12.
CGOCGO-2009-LiuR #c++ #named #performance #source code
Perflint: A Context Sensitive Performance Advisor for C++ Programs (LL, SR), pp. 265–274.
RTARTA-2009-EndrullisH
From Outermost to Context-Sensitive Rewriting (JE, DH), pp. 305–319.
ICSTSAT-2009-SinzI #heuristic #problem
Problem-Sensitive Restart Heuristics for the DPLL Procedure (CS, MI), pp. 356–362.
CASECASE-2008-HuangK #analysis #assembly #design #evaluation #multi #process
Process capability sensitivity analysis for design evaluation of multi station assembly systems (WH, ZK), pp. 400–405.
CASECASE-2008-VahediS #towards
Towards output-sensitive computation of two-finger caging grasps (MV, AFvdS), pp. 73–78.
DACDAC-2008-El-MoselhyEW #algorithm #parametricity #performance #scalability #set
Efficient algorithm for the computation of on-chip capacitance sensitivities with respect to a large set of parameters (TAEM, IME, DW), pp. 906–911.
DACDAC-2008-KumarKS #analysis #framework
A framework for block-based timing sensitivity analysis (SVK, CVK, SSS), pp. 688–693.
DATEDATE-2008-PradhanV #performance #synthesis #using
Fast Analog Circuit Synthesis Using Sensitivity Based Near Neighbor Searches (AP, RV), pp. 523–526.
PODSPODS-2008-SharfmanSK #geometry #monitoring
Shape sensitive geometric monitoring (IS, AS, DK), pp. 301–310.
SIGMODSIGMOD-2008-LiTX #privacy #proximity
Preservation of proximity privacy in publishing numerical sensitive data (JL, YT, XX), pp. 473–486.
PLDIPLDI-2008-DilligDA #analysis #scalability
Sound, complete and scalable path-sensitive analysis (ID, TD, AA), pp. 270–280.
PLDIPLDI-2008-Kahlon #alias #analysis #named #pointer #scalability
Bootstrapping: a technique for scalable flow and context-sensitive pointer alias analysis (VK), pp. 249–259.
SASSAS-2008-BalakrishnanSIWG #analysis #detection #named #refinement
SLR: Path-Sensitive Analysis through Infeasible-Path Detection and Syntactic Language Refinement (GB, SS, FI, OW, AG), pp. 238–254.
SASSAS-2008-PrabhuS #analysis #array #java #pointer #using
Field Flow Sensitive Pointer and Escape Analysis for Java Using Heap Array SSA (PP, PS), pp. 110–127.
SFMSFM-2008-DegasperiG #analysis #modelling #probability
Sensitivity Analysis of Stochastic Models of Bistable Biochemical Reactions (AD, SG), pp. 1–20.
CHICHI-2008-KimKLNL #feedback
Inflatable mouse: volume-adjustable mouse with air-pressure-sensitive input and haptic feedback (SK, HK, BL, TJN, WL), pp. 211–224.
CAiSECAiSE-2008-NanardRNGP #navigation #web
Concern-Sensitive Navigation: Improving Navigation in Web Software through Separation of Concerns (JN, GR, MN, SEG, LP), pp. 420–434.
EDOCEDOC-2008-KavimandanKG #automation #enterprise #model transformation #synthesis #using #workflow
Automated Context-Sensitive Dialog Synthesis for Enterprise Workflows Using Templatized Model Transformations (AK, RK, ASG), pp. 159–168.
ICEISICEIS-HCI-2008-AnacletoPFCF #education #game studies
Culture Sensitive Educational Games Considering Common Sense Knowledge (JCAS, ENP, AMF, AFPdC, JF), pp. 136–141.
CIKMCIKM-2008-AnciauxBHPA
Data degradation: making private data less sensitive over time (NA, LB, HvH, PP, PMGA), pp. 1401–1402.
CIKMCIKM-2008-DakkaGI #query
Answering general time sensitive queries (WD, LG, PGI), pp. 1437–1438.
CIKMCIKM-2008-DonmezC #learning #multi
Proactive learning: cost-sensitive active learning with multiple imperfect oracles (PD, JGC), pp. 619–628.
CIKMCIKM-2008-FaruquieNCS #detection #information management
Exploiting context to detect sensitive information in call center conversations (TAF, SN, AC, LVS), pp. 1513–1514.
ECIRECIR-2008-WeiLLH #clustering #graph #multi #query #summary
A Cluster-Sensitive Graph Model for Query-Oriented Multi-document Summarization (FW, WL, QL, YH), pp. 446–453.
ICMLICML-2008-OBrienGG #classification #multi #probability
Cost-sensitive multi-class classification from probability estimates (DBO, MRG, RMG), pp. 712–719.
ICPRICPR-2008-PohK #authentication #bound #fault #multimodal #on the #using
On using error bounds to optimize cost-sensitive multimodal biometric authentication (NP, JK), pp. 1–4.
KDDKDD-2008-EshghiR #locality #order #rank #statistics
Locality sensitive hash functions based on concomitant rank order statistics (KE, SR), pp. 221–229.
KDDKDD-2008-LozanoA #multi
Multi-class cost-sensitive boosting with p-norm loss functions (ACL, NA), pp. 506–514.
SIGIRSIGIR-2008-HeMO #metric #retrieval #using
Retrieval sensitivity under training using different measures (BH, CM, IO), pp. 67–74.
SIGIRSIGIR-2008-WeiLLH #multi #query #summary
Query-sensitive mutual reinforcement chain and its application in query-oriented multi-document summarization (FW, WL, QL, YH), pp. 283–290.
FSEFSE-2008-LeS #detection #named
Marple: a demand-driven path-sensitive buffer overflow detector (WL, MLS), pp. 272–282.
CCCC-2008-MarronHKS #analysis #graph #modelling #performance
Efficient Context-Sensitive Shape Analysis with Graph Based Heap Models (MM, MVH, DK, DS), pp. 245–259.
CGOCGO-2008-ThakurG #analysis #data flow
Comprehensive path-sensitive data-flow analysis (AVT, RG), pp. 55–63.
ISSTAISSTA-2008-DoR #analysis #modelling #testing #using
Using sensitivity analysis to create simplified economic models for regression testing (HD, GR), pp. 51–62.
ISSTAISSTA-2008-XuR #analysis #points-to #scalability
Merging equivalent contexts for scalable heap-cloning-based context-sensitive points-to analysis (G(X, AR), pp. 225–236.
RTARTA-2008-GutierrezLU #term rewriting
Usable Rules for Context-Sensitive Rewrite Systems (RG, SL, XU), pp. 126–141.
RTARTA-2008-KojimaS #decidability #linear #reachability #term rewriting
Innermost Reachability and Context Sensitive Reachability Properties Are Decidable for Linear Right-Shallow Term Rewriting Systems (YK, MS), pp. 187–201.
DACDAC-2007-ZhangGS #architecture #named #optimisation
SODA: Sensitivity Based Optimization of Disk Architecture (YZ, SG, MRS), pp. 865–870.
DATEDATE-2007-DasM #analysis
Sensitivity analysis for fault-analysis and tolerance in RF front-end circuitry (TD, PRM), pp. 1277–1282.
ICDARICDAR-2007-TerasawaT #documentation #image #locality #pseudo
Locality Sensitive Pseudo-Code for Document Images (KT, YT), pp. 73–77.
ICDARICDAR-2007-WickRL #fault #modelling #topic #using
Context-Sensitive Error Correction: Using Topic Models to Improve OCR (MLW, MGR, EGLM), pp. 1168–1172.
PASTEPASTE-2007-LeS #analysis #detection
Refining buffer overflow detection via demand-driven path-sensitive analysis (WL, MLS), pp. 63–68.
PASTEPASTE-2007-Milanova #analysis #java #points-to
Light context-sensitive points-to analysis for java (AM), pp. 25–30.
SCAMSCAM-2007-GutzmannLL #analysis #points-to #towards
Towards Path-Sensitive Points-to Analysis (TG, JL, WL), pp. 59–68.
PLDIPLDI-2007-LattnerLA #analysis #points-to
Making context-sensitive points-to analysis with heap cloning practical for the real world (CL, AL, VSA), pp. 278–289.
STOCSTOC-2007-NissimRS #data analysis
Smooth sensitivity and sampling in private data analysis (KN, SR, AS), pp. 75–84.
ICALPICALP-2007-FraigniaudGIP #distributed #graph
Distributed Computing with Advice: Information Sensitivity of Graph Coloring (PF, CG, DI, AP), pp. 231–242.
LATALATA-2007-Bensch #approach #context-sensitive grammar #parallel
An Approach to Parallel Mildly Context-Sensitive Grammar Formalisms (SB), pp. 91–102.
CHICHI-2007-CechanowiczIS
Augmenting the mouse with pressure sensitive input (JC, PI, SS), pp. 1385–1394.
HCIHCI-IPT-2007-OhsawaSIITT #process
Smart Furoshiki: A Context Sensitive Cloth for Supporting Everyday Activities (RO, KS, TI, MI, KT, HT), pp. 1193–1199.
VISSOFTVISSOFT-2007-PaddaSM #visualisation
Visualization Patterns: A Context-Sensitive Tool to Evaluate Visualization Techniques (HKP, AS, SPM), pp. 88–91.
ICEISICEIS-AIDSS-2007-LevashovaPL #approach #enterprise #modelling
Provision of Context-Sensitive Enterprise Knowledge for Decision Support: An Approach based on Enterprise Models and Information Demand Contexts (TL, MP, ML), pp. 88–93.
CIKMCIKM-2007-HeO #ad hoc #parametricity #probability #retrieval
Parameter sensitivity in the probabilistic model for ad-hoc retrieval (BH, IO), pp. 263–272.
KDDKDD-2007-ShengL #learning
Partial example acquisition in cost-sensitive learning (VSS, CXL), pp. 638–646.
SIGIRSIGIR-2007-PengALL #web
Context sensitive stemming for web search (FP, NA, XL, YL), pp. 639–646.
MODELSMoDELS-2007-MassicotteCBL #detection #modelling
Model-Driven, Network-Context Sensitive Intrusion Detection (FM, MC, LCB, YL), pp. 61–75.
MODELSMoDELS-2007-MassicotteCBL #detection #modelling
Model-Driven, Network-Context Sensitive Intrusion Detection (FM, MC, LCB, YL), pp. 61–75.
SACSAC-2007-Al-Fedaghi #how #question
How sensitive is your personal information? (SSAF), pp. 165–169.
SACSAC-2007-LeeKS #network #scheduling
A fair scheduling scheme for a time-sensitive traffic over the dual-channel wireless network (JL, MK, AS), pp. 1131–1135.
SACSAC-2007-NoordendeBT #mobile #security #using
Guarding security sensitive content using confined mobile agents (Gv'N, FMTB, AST), pp. 48–55.
SACSAC-2007-ShinH #analysis #automation #comparison #difference #process
Comparison of two activity analyses for automatic differentiation: context-sensitive flow-insensitive vs. context-insensitive flow-sensitive (JS, PDH), pp. 1323–1329.
SACSAC-2007-WeynsR #reliability
Sensitivity of software system reliability to usage profile changes (KW, PR), pp. 1440–1444.
ESEC-FSEESEC-FSE-2007-XuRTQ #java #performance #using
Efficient checkpointing of java software using context-sensitive capture and replay (G(X, AR, YT, FQ), pp. 85–94.
ICSEICSE-2007-GanapathyKJJ #concept analysis #legacy #mining #using
Mining Security-Sensitive Operations in Legacy Code Using Concept Analysis (VG, DK, TJ, SJ), pp. 458–467.
ICSEICSE-2007-RamanathanGJ #precedence #protocol
Path-Sensitive Inference of Function Precedence Protocols (MKR, AG, SJ), pp. 240–250.
CGOCGO-2007-VaswaniTSJ #architecture #compilation #empirical #modelling #optimisation
Microarchitecture Sensitive Empirical Models for Compiler Optimizations (KV, MJT, YNS, PJJ), pp. 131–143.
LICSLICS-2007-TorreMP #context-sensitive grammar #robust
A Robust Class of Context-Sensitive Languages (SLT, PM, GP), pp. 161–170.
RTARTA-2007-HendrixM #on the #order #specification
On the Completeness of Context-Sensitive Order-Sorted Specifications (JH, JM), pp. 229–245.
DATEDATE-2006-PanditKMP #hardware #higher-order #synthesis
High level synthesis of higher order continuous time state variable filters with minimum sensitivity and hardware count (SP, SK, CAM, AP), pp. 1203–1204.
DATEDATE-2006-YangV #analysis #evaluation #performance #synthesis
Efficient temperature-dependent symbolic sensitivity analysis and symbolic performance evaluation in analog circuit synthesis (HY, RV), pp. 283–284.
SIGMODSIGMOD-2006-AgrawalRT #ranking
Context-sensitive ranking (RA, RR, ET), pp. 383–394.
CSMRCSMR-2006-BrandKVV #framework #independence #pretty-printing
A Language Independent Framework for Context-sensitive Formatting (MvdB, ATK, JJV, NPV), pp. 103–112.
WCREWCRE-2006-MerloLA #analysis #injection #php #sql
Insider and Ousider Threat-Sensitive SQL Injection Vulnerability Analysis in PHP (EM, DL, GA), pp. 147–156.
PLDIPLDI-2006-JohnsonE #algorithm #composition #independence
Context-sensitive domain-independent algorithm composition and selection (TAJ, RE), pp. 181–192.
PLDIPLDI-2006-PratikakisFH #analysis #concurrent #correlation #detection #named
LOCKSMITH: context-sensitive correlation analysis for race detection (PP, JSF, MWH), pp. 320–331.
PLDIPLDI-2006-SridharanB #analysis #java #points-to
Refinement-based context-sensitive points-to analysis for Java (MS, RB), pp. 387–400.
SASSAS-2006-ChenR #dependence #parametricity
Parametric and Termination-Sensitive Control Dependence (FC, GR), pp. 387–404.
SASSAS-2006-DhurjatiDY #analysis #data flow #refinement
Path-Sensitive Dataflow Analysis with Iterative Refinement (DD, MD, YY), pp. 425–442.
DLTDLT-2006-Jurdzinski #context-sensitive grammar
The Boolean Closure of Growing Context-Sensitive Languages (TJ), pp. 248–259.
FMFM-2006-HuynhR #c# #memory management
A Memory Model Sensitive Checker for C# (TQH, AR), pp. 476–491.
SEFMSEFM-2006-SampathK #analysis
Composing Context Sensitive Analysis (PS, SK), pp. 186–195.
CHICHI-2006-TangKFH #approach #people #privacy
Putting people in their place: an anonymous and privacy-sensitive approach to collecting sensed data in location-based applications (KPT, PK, JF, JIH), pp. 93–102.
ICEISICEIS-AIDSS-2006-ChangHG
Source Sensitive Argumentation System (CFC, PH, AG), pp. 39–46.
CIKMCIKM-2006-Metzler #estimation #modelling #retrieval
Estimation, sensitivity, and generalization in parameterized retrieval models (DM), pp. 812–813.
ICMLICML-2006-SenG #learning #markov #network
Cost-sensitive learning with conditional Markov networks (PS, LG), pp. 801–808.
ICPRICPR-v3-2006-ShanZSCG #image
A Riemannian Weighted Filter for Edge-sensitive Image Smoothing (SS, WZ, YS, XC, WG), pp. 590–593.
ICPRICPR-v3-2006-ZhangH #image
A Riemannian Weighted Filter for Edge-sensitive Image Smoothing (FZ, ERH), pp. 594–598.
ICPRICPR-v4-2006-LiWD #identification #retrieval
An Off-line Chinese Writer Retrieval System Based on Text-sensitive Writer Identification (XL, XW, XD), pp. 517–520.
SIGIRSIGIR-2006-NenkovaVM #composition #multi #summary
A compositional context sensitive multi-document summarizer: exploring the factors that influence summarization (AN, LV, KM), pp. 573–580.
SIGIRSIGIR-2006-ZhouHZLS #approach #information retrieval #modelling #semantics
Context-sensitive semantic smoothing for the language modeling approach to genomic IR (XZ, XH, XZ, XL, IYS), pp. 170–177.
MODELSMoDELS-2006-BerghC #interactive #modelling
CUP 2.0: High-Level Modeling of Context-Sensitive Interactive Applications (JVdB, KC), pp. 140–154.
MODELSMoDELS-2006-BerghC #interactive #modelling
CUP 2.0: High-Level Modeling of Context-Sensitive Interactive Applications (JVdB, KC), pp. 140–154.
POPLPOPL-2006-HuntS #on the #security
On flow-sensitive security types (SH, DS), pp. 79–90.
FSEFSE-2006-DwyerPE #detection #fault
Controlling factors in evaluating path-sensitive error detection techniques (MBD, SP, SGE), pp. 92–104.
CCCC-2006-LhotakH #analysis #points-to #question
Context-Sensitive Points-to Analysis: Is It Worth It? (OL, LJH), pp. 47–64.
CCCC-2006-TokGL #analysis #data flow #interprocedural #performance #pointer
Efficient Flow-Sensitive Interprocedural Data-Flow Analysis in the Presence of Pointers (TBT, SZG, CL), pp. 17–31.
LCTESLCTES-2006-Mine #analysis #c #embedded #pointer #source code
Field-sensitive value analysis of embedded C programs with union types and pointer arithmetics (AM), pp. 54–63.
ISSTAISSTA-2006-Wagner #analysis #detection #quality
A model and sensitivity analysis of the quality economics of defect-detection techniques (SW0), pp. 73–84.
ASEASE-2005-RungtaM #heuristic #model checking
A context-sensitive structural heuristic for guided search model checking (NR, EGM), pp. 410–413.
DACDAC-2005-Li #analysis #grid #performance #power management #simulation
Power grid simulation via efficient sampling-based sensitivity analysis and hierarchical symbolic relaxation (PL), pp. 664–669.
DACDAC-2005-MonnetRL #evaluation #fault
Asynchronous circuits transient faults sensitivity evaluation (YM, MR, RL), pp. 863–868.
DACDAC-2005-Zhu #analysis #pointer #scalability #towards
Towards scalable flow and context sensitive pointer analysis (JZ), pp. 831–836.
DATEDATE-2005-LiS #performance #simulation
An Efficiently Preconditioned GMRES Method for Fast Parasitic-Sensitive Deep-Submicron VLSI Circuit Simulation (ZL, CJRS), pp. 752–757.
DATEDATE-2005-StaschulatESW #analysis #performance
Context Sensitive Performance Analysis of Automotive Applications (JS, RE, AS, FW), pp. 165–170.
DATEDATE-2005-TangWD #complexity #power management #synthesis
MINLP Based Topology Synthesis for Delta Sigma Modulators Optimized for Signal Path Complexity, Sensitivity and Power Consumption (HT, YW, AD), pp. 264–269.
PODSPODS-2005-LamWLMACU #database #program analysis #query
Context-sensitive program analysis as database queries (MSL, JW, VBL, MCM, DA, MC, CU), pp. 1–12.
SIGMODSIGMOD-2005-AthitsosHKS
Query-Sensitive Embeddings (VA, MH, GK, SS), pp. 706–717.
SIGMODSIGMOD-2005-BrantnerKM #order
Cost-Sensitive Reordering of Navigational Primitives (CCK, MB, GM), pp. 742–753.
ICSMEICSM-2005-BrandKVV #architecture #pretty-printing
An Architecture for Context-Sensitive Formatting (MvdB, ATK, JJV, NPV), pp. 631–634.
PASTEPASTE-2005-HampapuramYD #analysis #data flow #simulation
Symbolic path simulation in path-sensitive dataflow analysis (HH, YY, MD), pp. 52–58.
PASTEPASTE-2005-LiangPH #algorithm #context-sensitive grammar #java #source code
Evaluating the impact of context-sensitivity on Andersen’s algorithm for Java programs (DL, MP, MJH), pp. 6–12.
SOFTVISSOFTVIS-2005-BerghC #interactive #modelling #towards #user interface
Towards modeling context-sensitive interactive applications: the context-sensitive user interface profile (CUP) (JVdB, KC), pp. 87–94.
CIKMCIKM-2005-RamakrishnanPD #categorisation #framework
A structure-sensitive framework for text categorization (GR, DP, BD), pp. 337–338.
MLDMMLDM-2005-SunWW #algorithm #parametricity
Parameter Inference of Cost-Sensitive Boosting Algorithms (YS, AKCW, YW), pp. 21–30.
SIGIRSIGIR-2005-SandersonZ #evaluation #information retrieval #reliability
Information retrieval system evaluation: effort, sensitivity, and reliability (MS, JZ), pp. 162–169.
SIGIRSIGIR-2005-ShenTZ #feedback #information management #information retrieval #using
Context-sensitive information retrieval using implicit feedback (XS, BT, CZ), pp. 43–50.
LOPSTRLOPSTR-2005-CorreasPHB #analysis #composition #source code
Experiments in Context-Sensitive Analysis of Modular Programs (JC, GP, MVH, FB), pp. 163–178.
SACSAC-2005-PowerSPS #query
Protecting sensitive patient data via query modification (DJP, MS, EAP, ACS), pp. 224–230.
ESEC-FSEESEC-FSE-2005-XieA #detection #memory management
Context- and path-sensitive memory leak detection (YX, AA), pp. 115–125.
CADECADE-2005-DufayFM #data flow #information management #ml #privacy
Privacy-Sensitive Information Flow with JML (GD, APF, SM), pp. 116–130.
DATEDATE-v1-2004-ChenKS #process #scheduling
Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms (GC, MTK, US), pp. 486–493.
DATEDATE-v1-2004-MurgaiRMHT #analysis #modelling
Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis (RM, SMR, TM, TH, MBT), pp. 610–615.
DATEDATE-v2-2004-PinelloCS #deployment #embedded #fault tolerance #realtime
Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications (CP, LPC, ALSV), pp. 1164–1169.
VLDBVLDB-2004-ChandrasekaranF
Remembrance of Streams Past: Overload-Sensitive Management of Archived Streams (SC, MJF), pp. 348–359.
FoSSaCSFoSSaCS-2004-Lucas #proving #termination
Polynomials for Proving Termination of Context-Sensitive Rewriting (SL), pp. 318–332.
TACASTACAS-2004-DelzannoG #automation #encryption #protocol #verification
Automatic Verification of Time Sensitive Cryptographic Protocols (GD, PG), pp. 342–356.
PASTEPASTE-2004-Ezick #analysis #constraints #query
Resolving and applying constraint queries on context-sensitive analyses (JE), pp. 2–7.
PASTEPASTE-2004-PearceKH #analysis #c #performance #pointer
Efficient field-sensitive pointer analysis for C (DJP, PHJK, CH), pp. 37–42.
SCAMSCAM-2004-Krinke #context-sensitive grammar #matter
Context-Sensitivity Matters, But Context Does Not (JK), pp. 29–35.
PLDIPLDI-2004-WhaleyL #alias #analysis #diagrams #pointer #using
Cloning-based context-sensitive pointer alias analysis using binary decision diagrams (JW, MSL), pp. 131–144.
SASSAS-2004-GulwaniN04a #analysis #linear
Path-Sensitive Analysis for Linear Arithmetic and Uninterpreted Functions (SG, GCN), pp. 328–343.
SASSAS-2004-NystromKH #analysis #bottom-up #pointer #top-down
Bottom-Up and Top-Down Context-Sensitive Summary-Based Pointer Analysis (EMN, HSK, WmWH), pp. 165–180.
DLTDLT-2004-LakinT #problem
Context-Sensitive Decision Problems in Groups (SRL, RMT), pp. 296–307.
KDDKDD-2004-AbeZL #learning #multi
An iterative method for multi-class cost-sensitive learning (NA, BZ, JL), pp. 3–11.
KRKR-2004-PeppasCF #distance #semantics
Distance Semantics for Relevance-Sensitive Belief Revision (PP, SC, NYF), pp. 319–328.
SIGIRSIGIR-2004-FanGLX #automation #concept #image #representation #using
Automatic image annotation by using concept-sensitive salient objects for image content representation (JF, YG, HL, GX), pp. 361–368.
SIGIRSIGIR-2004-LiuCKG #predict
Context sensitive vocabulary and its application in protein secondary structure prediction (YL, JGC, JKS, VG), pp. 538–539.
LOPSTRPDCL-2004-PueblaCHBBMS #analysis #composition #framework #source code
A Generic Framework for Context-Sensitive Analysis of Modular Programs (GP, JC, MVH, FB, MJGdlB, KM, PJS), pp. 233–260.
HPCAHPCA-2004-FernandezE #memory management
Link-Time Path-Sensitive Memory Redundancy Elimination (MF, RE), pp. 300–310.
RTARTA-2004-Lucas #named #proving #termination
mu-term: A Tool for Proving Termination of Context-Sensitive Rewriting (SL), pp. 200–209.
RTARTA-2004-MatthewsFFF #term rewriting #visual notation
A Visual Environment for Developing Context-Sensitive Term Rewriting Systems (JM, RBF, MF, MF), pp. 301–311.
ICDARICDAR-2003-El-NasanVN #n-gram #recognition #using
Handwriting Recognition Using Position Sensitive Letter N-Gram Matching (AEN, SV, GN), p. 577–?.
SIGMODSIGMOD-2003-ReissK #optimisation #parametricity #query
A Characterization of the Sensitivity of Query Optimization to Storage Access Cost Parameters (FR, TK), pp. 385–396.
ICSMEICSM-2003-BinkleyH #context-sensitive grammar #empirical #scalability #slicing
A Large-Scale Empirical Study of Forward and Backward Static Slice Size and Context Sensitivity (DB, MH), pp. 44–53.
PLDIPLDI-2003-HeineL #c #c++ #detection #memory management
A practical flow-sensitive and context-sensitive C and C++ memory leak detector (DLH, MSL), pp. 168–181.
CIAACIAA-2003-BravoN #parsing
Building Context-Sensitive Parsers from CF Grammars with Regular Control Language (CB, JJN), pp. 306–308.
ESEC-FSEESEC-FSE-2003-Krinke #concurrent #slicing #source code
Context-sensitive slicing of concurrent programs (JK), pp. 178–187.
ESEC-FSEESEC-FSE-2003-LivshitsL #c #context-sensitive grammar #debugging #detection #pointer #source code
Tracking pointers with path and context sensitivity for bug detection in C programs (VBL, MSL), pp. 317–326.
ESEC-FSEESEC-FSE-2003-XieCE #analysis #detection #fault #memory management #named #using
ARCHER: using symbolic, path-sensitive analysis to detect memory access errors (YX, AC, DRE), pp. 327–336.
CGOCGO-2003-HazelwoodG #adaptation #online
Adaptive Online Context-Sensitive Inlining (KMH, DG), pp. 253–264.
HPCAHPCA-2003-JeongD #algorithm
Cost-Sensitive Cache Replacement Algorithms (JJ, MD), pp. 327–337.
WICSAWICSA-2002-BruinVB #design
Documenting and Analyzing a Context-Sensitive Design Space (HdB, HvV, ZB), pp. 127–141.
VLDBVLDB-2002-RohmBSS #clustering #component #coordination #middleware #named
FAS — A Freshness-Sensitive Coordination Middleware for a Cluster of OLAP Components (UR, KB, HJS, HS), pp. 754–765.
ICSMEICSM-2002-Krinke #slicing
Evaluating Context-Sensitive Slicing and Chopping (JK), pp. 22–31.
PLDIPLDI-2002-DasLS #named #polynomial #verification
ESP: Path-Sensitive Program Verification in Polynomial Time (MD, SL, MS), pp. 57–68.
PLDIPLDI-2002-FosterTA
Flow-Sensitive Type Qualifiers (JSF, TT, AA), pp. 1–12.
DLTDLT-2002-GieslM #termination
Innermost Termination of Context-Sensitive Rewriting (JG, AM), pp. 231–244.
CHICHI-2002-Chen #symmetry
Leveraging the asymmetric sensitivity of eye contact for videoconference (MC), pp. 49–56.
ICEISICEIS-2002-ShinkawaM #database #design #transaction
State-Sensitive Design of Database Transactions (YS, MJM), pp. 282–289.
ICMLICML-2002-ZubekD #heuristic #learning
Pruning Improves Heuristic Search for Cost-Sensitive Learning (VBZ, TGD), pp. 19–26.
ICPRICPR-v1-2002-Wilkinson
Generalized Pattern Spectra Sensitive to Spatial Information (MHFW), pp. 21–24.
ICPRICPR-v3-2002-QiP #classification
Context-Sensitive Bayesian Classifiers and Application to Mouse Pressure Pattern Classification (Y(Q, RWP), pp. 448–451.
KDDKDD-2002-PednaultAZ #learning
Sequential cost-sensitive decision making with reinforcement learning (EPDP, NA, BZ), pp. 259–268.
PPDPPPDP-2002-GramlichL #composition #termination
Modular termination of context-sensitive rewriting (BG, SL), pp. 50–61.
SACSAC-2002-Hidalgo #categorisation #email
Evaluating cost-sensitive Unsolicited Bulk Email categorization (JMGH), pp. 615–620.
HPDCHPDC-2002-CoreyJV #adaptation #architecture #empirical #grid #parametricity
Local Discovery of System Architecture — Application Parameter Sensitivity: An Empirical Technique for Adaptive Grid Applications (IC, JRJ, JSV), p. 399–?.
OSDIOSDI-2002-GoelAKSW
Supporting Time-Sensitive Applications on a Commodity OS (AG, LA, CK, JS, JW), pp. 165–180.
CADECADE-2002-BorrallerasLR #order #recursion
Recursive Path Orderings Can Be Context-Sensitive (CB, SL, AR), pp. 314–331.
ISSTAISSTA-2002-MilanovaRR #analysis #java #points-to
Parameterized object sensitivity for points-to and side-effect analyses for Java (AM, AR, BGR), pp. 1–11.
RTARTA-2002-Lucas #canonical #termination
Termination of (Canonical) Context-Sensitive Rewriting (SL), pp. 296–310.
DACDAC-2001-KimCL #estimation #logic
A Static Estimation Technique of Power Sensitivity in Logic Circuits (TK, KSC, CLL), pp. 215–219.
DATEDATE-2001-Burdiek #generative #programming #using
Generation of optimum test stimuli for nonlinear analog circuits using nonlinear — programming and time-domain sensitivities (BB), pp. 603–609.
ICDARICDAR-2001-KikuchiA #development #identification
Development of Speedy and High Sensitive Pen System for Writing Pressure and Writer Identification (MK, NA), pp. 1040–1044.
PASTEPASTE-2001-AgrawalG #slicing
Evaluating explicitly context-sensitive program slicing (GA, LG), pp. 6–12.
PASTEPASTE-2001-BallR #data flow #interprocedural #named
Bebop: a path-sensitive interprocedural dataflow engine (TB, SKR), pp. 97–103.
DLTDLT-2001-NiemannW #context-sensitive grammar
The Growing Context-Sensitive Languages Are the Acyclic Context-Sensitive Languages (GN, JRW), pp. 197–205.
ICEISICEIS-v1-2001-PanticR #challenge #monitoring #multi #ubiquitous
Affect-Sensitive Multi-Modal Monitoring in Ubiquitous Computing: Advances and Challenges (MP, LJMR), pp. 466–474.
CIKMCIKM-2001-TombrosR #metric #similarity
Query-Sensitive Similarity Measures for the Calculation of Interdocument Relationships (AT, CJvR), pp. 17–24.
ICMLICML-2001-DominguezJ #development #visual notation
Visual Development and the Acquisition of Binocular Disparity Sensitivities (MD, RAJ), pp. 114–121.
PPDPPPDP-2001-HengleinMN #approach #control flow #memory management
A Direct Approach to Control-Flow Sensitive Region-Based Memory Management (FH, HM, HN), pp. 175–186.
PLDIPLDI-2000-FahndrichRD #analysis #constraints #scalability #using
Scalable context-sensitive flow analysis using instantiation constraints (MF, JR, MD), pp. 253–263.
WLCWLC-2000-DomosiI #context-sensitive grammar #parsing #problem
A Parsing Problem for Context-Sensitive Languages (PD, MI), pp. 183–184.
ICMLICML-2000-DrummondH
Exploiting the Cost (In)sensitivity of Decision Tree Splitting Criteria (CD, RCH), pp. 239–246.
ICMLICML-2000-MargineantuD #classification #evaluation
Bootstrap Methods for the Cost-Sensitive Evaluation of Classifiers (DDM, TGD), pp. 583–590.
ICMLICML-2000-Ting #algorithm #case study #comparative
A Comparative Study of Cost-Sensitive Boosting Algorithms (KMT), pp. 983–990.
ICPRICPR-v3-2000-AlbanesiFG #adaptation #image
Adaptive Image Compression Based on Regions of Interest and a Modified Contrast Sensitivity Function (MGA, MF, FG), pp. 3219–3222.
ICPRICPR-v3-2000-KoubaroulisMK #multimodal #performance
The Multimodal Signature Method: An Efficiency and Sensitivity Study (DK, JM, JK), pp. 3379–3382.
POPLPOPL-2000-MuthD #analysis #complexity #data flow #on the
On the Complexity of Flow-Sensitive Dataflow Analyses (RM, SKD), pp. 67–80.
SACSAC-2000-LenartSG #analysis #constant
SSA-based Flow-sensitive Type Analysis: Combining Constant and Type Propagation (AL, CS, SKSG), pp. 813–817.
DATEDATE-1999-LiuPF
Minimizing Sensitivity to Delay Variations in High-Performance Synchronous Circuits (XL, MCP, EGF), pp. 643–649.
DATEDATE-1999-ONilsJ #implementation #independence #operating system #protocol #specification #synthesis
Operating System Sensitive Device Driver Synthesis from Implementation Independent Protocol Specification (MO, AJ), pp. 562–567.
STOCSTOC-1999-GalR #theorem
A Theorem on Sensitivity and Applications in Private Computation (AG, AR), pp. 348–357.
DLTDLT-1999-DrewesKK
Table-driven and context-sensitive collage languages (FD, RKH, HJK), pp. 326–337.
ICMLICML-1999-FanSZC #classification #named
AdaCost: Misclassification Cost-Sensitive Boosting (WF, SJS, JZ, PKC), pp. 97–105.
KDDKDD-1999-Domingos #classification #named
MetaCost: A General Method for Making Classifiers Cost-Sensitive (PMD), pp. 155–164.
SIGIRSIGIR-1999-LeeD #network
Context-Sensitive Vocabulary Mapping with a Spreading Activation Network (JL, DD), pp. 198–205.
ICSEICSE-1999-YurRL #alias #analysis #incremental #pointer
An Incremental Flow- and Context-Sensitive Pointer Aliasing Analysis (JSY, BGR, WL), pp. 442–451.
CCCC-1999-GuptaB
Register Pressure Sensitive Redundancy Elimination (RG, RB), pp. 107–121.
HPCAHPCA-1999-PlaatBH #difference #latency #parallel #scalability
Sensitivity of Parallel Applications to Large Differences in Bandwidth and Latency in Two-Layer Interconnects (AP, HEB, RFHH), pp. 244–253.
SOSPSOSP-1999-DudaC #scheduling #thread
Borrowed-virtual-time (BVT) scheduling: supporting latency-sensitive threads in a general-purpose schedular (KJD, DRC), pp. 261–276.
RTARTA-1999-FerreiraR
Context-Sensitive AC-Rewriting (MCFF, ALR), pp. 286–300.
RTARTA-1999-GieslM #term rewriting
Transforming Context-Sensitive Rewrite Systems (JG, AM), pp. 271–287.
DACDAC-1998-ChenR #megamodelling
A Power Macromodeling Technique Based on Power Sensitivity (ZC, KR), pp. 678–683.
DACDAC-1998-NguyenDN #linear #simulation
Adjoint Transient Sensitivity Computation in Piecewise Linear Simulation (TVN, AD, OJN), pp. 477–482.
PODSPODS-1998-ChristodoulakisZ #database #design
Data Base Design Principles for Striping and Placement of Delay-Sensitive Data on Disks (SC, FZ), pp. 69–78.
FoSSaCSFoSSaCS-1998-NiemannO #context-sensitive grammar
The Church-Rosser Languages Are the Deterministic Variants of the Growing Context-Sensitive Languages (GN, FO), pp. 243–257.
SASSAS-1998-HindP #alias #analysis #pointer
Assessing the Effects of Flow-Sensitivity on Pointer Alias Analyses (MH, AP), pp. 57–81.
ICPRICPR-1998-Huynh #analysis #image #re-engineering
Euclidean reconstruction from an image triplet: a sensitivity analysis (DQH), pp. 835–837.
POPLPOPL-1998-BodikA #analysis
Path-Sensitive Value-Flow Analysis (RB, SA), pp. 237–251.
HPCAHPCA-1998-ChongBDKA #communication #latency
The Sensitivity of Communication Mechanisms to Bandwidth and Latency (FTC, RB, FD, JK, AA), pp. 37–46.
LCTESLCTES-1998-KastnerT #scheduling
Cache-Sensitive Pre-runtime Scheduling (DK, ST), pp. 131–145.
ISSTAISSTA-1998-StocksRLZ #context-sensitive grammar #problem
Comparing Flow and Context Sensitivity on the Modification-Side-Effects Problem (PS, BGR, WL, SZ), pp. 21–31.
PEPMPEPM-1997-HornofN #analysis #imperative
Accurate Binding-Time Analysis For Imperative Languages: Flow, Context, and Return Sensitivity (LH, JN), pp. 63–73.
PLDIPLDI-1997-AmmonsBL #hardware #performance #profiling
Exploiting Hardware Performance Counters with Flow and Context Sensitive Profiling (GA, TB, JRL), pp. 85–96.
PLDIPLDI-1997-DussartHH
Module-Sensitive Program Specialisation (DD, RH, JH), pp. 206–214.
SASSAS-1997-HornofCN #effectiveness #source code
Effective Specialization of Realistic Programs via Use Sensitivity (LH, CC, JN), pp. 293–314.
ICFPICFP-1997-Lassila #code generation #confluence #context-sensitive grammar #functional #metaprogramming #optimisation
A Functional Macro Expansion System for Optimizing Code Generation: Gaining Context-Sensitivity without Losing Confluence (EL), p. 315.
HCIHCI-CC-1997-MamiyaHSK
A New Way to Overcome the Uneasy Operation of Touch-Sensitive Displays by Incorporating “Click” Mechanism CC Switch (MM, HH, YS, MK), pp. 619–622.
PPDPALP-1997-Lucas #reduction
Needed Reductions with Context-Sensitive Rewriting (SL), pp. 129–143.
RTARTA-1997-Perlo-FreemanP
Scott’s Conjecture is True, Position Sensitive Weights (SMHWPF, PP), pp. 217–227.
RTARTA-1997-Zantema #termination
Termination of Context-Sensitive Rewriting (HZ), pp. 172–186.
DACDAC-1996-MiliozziVCMS #design #modelling
Use of Sensitivities and Generalized Substrate Models in Mixed-Signal IC Design (PM, IV, EC, EM, ALSV), pp. 227–232.
PLDIPLDI-1996-BaileyD #generative #sequence #source code
Target-Sensitive Construction of Diagnostic Programs for Procedure Calling Sequence Generators (MWB, JWD), pp. 249–257.
ICALPICALP-1996-Lucas #termination
Termination of Context-Sensitive Rewriting by Rewriting (SL), pp. 122–133.
ICMLICML-1996-GoldingR
Applying Winnow to Context-Sensitive Spelling Correction (ARG, DR), pp. 182–190.
ICMLICML-1996-Mahadevan #learning
Sensitive Discount Optimality: Unifying Discounted and Average Reward Reinforcement Learning (SM), pp. 328–336.
ICPRICPR-1996-AlquezarS #context-sensitive grammar #learning #regular expression
Learning of context-sensitive languages described by augmented regular expressions (RA, AS), pp. 745–749.
ICPRICPR-1996-ChenJ #behaviour #network #on the
On the small sample behavior of the class-sensitive neural network (CHC, AJ), pp. 209–213.
ICPRICPR-1996-MaseN
Computing the field-of-view of a stitched panorama to create for sensitive virtual environments (KM, HN), pp. 151–155.
ICPRICPR-1996-SainzS #context-sensitive grammar #learning #modelling #using
Learning bidimensional context-dependent models using a context-sensitive language (MS, AS), pp. 565–569.
ICPRICPR-1996-SilfstenPLKJP
Color-sensitive biosensors for imaging (PS, SP, JL, AAK, TJ, JP), pp. 331–335.
ICPRICPR-1996-WilsonCH #analysis
Sensitivity analysis for structural matching (RCW, ADJC, ERH), pp. 62–66.
SIGIRSIGIR-1996-CohenS #categorisation #learning
Context-sensitive Learning Methods for Text Categorization (WWC, YS), pp. 307–315.
PPDPPLILP-1996-Lucas #confluence #source code
Context-Sensitive Computations in Confluent Programs (SL), pp. 408–422.
DACDAC-1995-LampaertGS
Direct Performance-Driven Placement of Mismatch-Sensitive Analog Circuits (KL, GGEG, WMCS), pp. 445–449.
DACDAC-1995-NajmZ #process #worst-case
Extreme Delay Sensitivity and the Worst-Case Switching Activity in VLSI Circuits (FNN, MYZ), pp. 623–627.
PLDIPLDI-1995-CariniH #constant #interprocedural
Flow-Sensitive Interprocedural Constant Propagation (PRC, MH), pp. 23–31.
PLDIPLDI-1995-WilsonL #analysis #c #performance #pointer #source code
Efficient Context-Sensitive Pointer Analysis for C Programs (RPW, MSL), p. 1–?.
DACDAC-1994-ChenF #analysis #using
Transient Sensitivity Computation of MOSFET Circuits Using Iterated Timing Analysis and Selective-Tracing Waveform Eelaxation (CJC, WSF), pp. 581–585.
DACDAC-1994-SaldanhaHMBS #optimisation #performance #using
Performance Optimization Using Exact Sensitization (AS, HH, PCM, RKB, ALSV), pp. 425–429.
DACDAC-1994-SilvaS
Dynamic Search-Space Pruning Techniques in Path Sensitization (JPMS, KAS), pp. 705–711.
PLDIPLDI-1994-EmamiGH #analysis #interprocedural #pointer #points-to
Context-Sensitive Interprocedural Points-to Analysis in the Presence of Function Pointers (ME, RG, LJH), pp. 242–256.
KRKR-1994-KoenigS #graph #probability
Risk-Sensitive Planning with Probabilistic Decision Graphs (SK, RGS), pp. 363–373.
PLDIPLDI-1993-Huff #scheduling
Lifetime-Sensitive Modulo Scheduling (RAH), pp. 258–267.
SIGIRSIGIR-1993-BruzaG #performance
Efficient Context-Sensitive Plausible Inference for Information Disclosure (PB, LCvdG), pp. 12–21.
TOOLSTOOLS-PACIFIC-1993-KangG #framework #object-oriented #re-engineering
An Object-Oriented Framework for Process-Sensitive Software Engineering Environments (MK, DDG), pp. 233–252.
POPLPOPL-1993-ChoiBC #alias #interprocedural #performance #pointer
Efficient Flow-Sensitive Interprocedural Computation of Pointer-Induced Aliases and Side Effects (JDC, MGB, PRC), pp. 232–245.
DACDAC-1992-LinLE
Analyzing Cycle Stealing on Synchronous Circuits with Level-Sensitive Latches (IL, JAL, KE), pp. 393–398.
ICALPICALP-1992-BuntrockL #context-sensitive grammar #on the
On Growing Context-Sensitive Languages (GB, KL), pp. 77–88.
DACDAC-1991-Gad-El-KarimG #generative #layout #performance
Generation of Performance Sensitivities for Analog Cell Layout (GGEK, RSG), pp. 500–505.
PODSPODS-1991-MalvestutoMR #2d #information management #statistics
Suppressing Marginal Cells to Protect Sensitive Information in a Two-Dimensional Statistical Table (FMM, MM, MR), pp. 252–258.
ICMLML-1991-Tan #learning #representation
Learning a Cost-Sensitive Internal Representation for Reinforcement Learning (MT), pp. 358–362.
STOCSTOC-1990-Mulmuley #diagrams #order
Output Sensitive Construction of Levels and Voronoi Diagrams in R^d of Order 1 to k (KM), pp. 322–330.
ICMLML-1989-TanS #approach #concept #learning #recognition
Cost-Sensitive Concept Learning of Sensor Use in Approach ad Recognition (MT, JCS), pp. 392–395.
PLDIPLDI-1988-Callahan #analysis #data flow #graph #interprocedural #summary
The Program Summary Graph and Flow-Sensitive Interprocedural Data Flow Analysis (DC), pp. 47–56.
PPoPPPPEALS-1988-StrammB #algorithm #compilation #heuristic
Communication-Sensitive Heuristics and Algorithms for Mapping Compilers (BS, FB), pp. 222–234.
DACDAC-1987-Lee #hypermedia #quote
“?”: A Context-Sensitive Help System Based on Hypertext (WL), pp. 429–435.
DACDAC-1978-Huey #graph #problem #reduction #using
Guiding sensitization searches using problem reduction graphs (BH), pp. 312–320.
DACDAC-1973-BoehmA #design #parametricity
Parameter sensitivity studies for internal combustion engine control and design purposes (CFBJ, JJAI), pp. 240–246.
ICALPICALP-1972-Baker #context-free grammar #context-sensitive grammar #generative
Context-Sensitive Grammars Generating Context-Free Languages (BSB), pp. 501–506.
STOCSTOC-1969-PetersR #analysis #context-free grammar #revisited
Context-Sensitive Immediate Constituent Analysis — Context-Free Languages Revisited (PSPJ, RWR), pp. 1–8.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.