BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
multi (67)
model (47)
system (47)
base (41)
architectur (37)

Stem layer$ (all stems)

365 papers:

ECSAECSA-2015-BelleEDKM #architecture #polynomial #problem
The Layered Architecture Recovery as a Quadratic Assignment Problem (ABB, GEB, CD, SK, HM), pp. 339–354.
CASECASE-2015-AntonelloGM #detection #fault
Autonomous robotic system for thermographic detection of defects in upper layers of carbon fiber reinforced polymers (MA, SG, EM), pp. 634–639.
DACDAC-2015-YangCK #design
Virtual flash chips: rethinking the layer design of flash devices to improve data recoverability (MCY, YHC, TWK), p. 6.
DACDAC-2015-YaoHC #constraints #named
PACOR: practical control-layer routing flow with length-matching constraint for flow-based microfluidic biochips (HY, TYH, YC), p. 6.
DACDAC-2015-ZhangMMWSS #3d #design
A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC (RZ, KM, BHM, KW, KS, MRS), p. 6.
DATEDATE-2015-SarmaDGVN #paradigm #self
Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation (SS, NDD, PG, NV, AN), pp. 625–628.
DATEDATE-2015-SunZLZZGSKRLZY #design #memory management
From device to system: cross-layer design exploration of racetrack memory (GS, CZ, HL, YZ, WZ, YG, YS, JOK, DR, YL, WZ, HY), pp. 1018–1023.
HTHT-2015-Goranson #hypermedia
Opportunistic Layered Hypernarrative (HTG), pp. 267–272.
SCAMSCAM-2015-SayaghA #empirical #multi
Multi-layer software configuration: Empirical study on wordpress (MS, BA), pp. 31–40.
LATALATA-2015-AutiliIMST #automation #specification #synthesis
Automated Synthesis of Application-Layer Connectors from Automata-Based Specifications (MA, PI, FM, RS, MT), pp. 3–24.
CHICHI-2015-PengMHM #3d #interactive
A Layered Fabric 3D Printer for Soft Interactive Objects (HP, JM, SEH, JM), pp. 1789–1798.
ICMLICML-2015-HanXA #consistency #estimation #modelling #multi
Consistent estimation of dynamic and multi-layer block models (QH, KSX, EA), pp. 1511–1520.
KDDKDD-2015-VeeriahDQ #architecture #learning #predict
Deep Learning Architecture with Dynamically Programmed Layers for Brain Connectome Prediction (VV, RD, GJQ), pp. 1205–1214.
MoDELSMoDELS-2015-AhmarGDP #communication #modelling #uml #visual notation
Enhancing the communication value of UML models with graphical layers (YEA, SG, CD, XLP), pp. 64–69.
OOPSLAOOPSLA-2015-JantzRKD #memory management
Cross-layer memory management for managed language applications (MRJ, FJR, PAK, KAD), pp. 488–504.
POPLPOPL-2015-GuKRSWWZG #abstraction #specification
Deep Specifications and Certified Abstraction Layers (RG, JK, TR, ZS, X(W, SCW, HZ, YG), pp. 595–608.
SACSAC-2015-FontineleSSNM #network #physics #problem
A solution to the MCSP problem considering physical layer degradations in transparent optical networks (AF, IS, ACBS, JMN, FM), pp. 662–664.
SACSAC-2015-WangCR #multi #optimisation
Dynamic optimization of multi-layered reinsurance treaties (HW, OACC, ARC), pp. 125–132.
SACSAC-2015-XuYYHHK #multi #predict #using
Solar irradiance forecasting using multi-layer cloud tracking and numerical weather prediction (JX, SY, DY, DH, JH, PK), pp. 2225–2230.
CSLCSL-2015-LiuJO #confluence #term rewriting
Confluence of Layered Rewrite Systems (JL, JPJ, MO), pp. 423–440.
ECSAECSA-2014-BennaceurI #architecture #distributed
Layered Connectors — Revisiting the Formal Basis of Architectural Connection for Complex Distributed Systems (AB, VI), pp. 283–299.
CASECASE-2014-OhnishiY #performance
Switching control of DC-DC converters with Electric Double-Layer Capacitor based on control performance index (YO, TY), pp. 188–193.
DACDAC-2014-Chandra #embedded #monitoring #multi #perspective #reliability
Monitoring Reliability in Embedded Processors — A Multi-layer View (VC), p. 6.
DACDAC-2014-DuttGNBGS #memory management #multi
Multi-Layer Memory Resiliency (ND, PG, AN, AB, MG, MS), p. 6.
DACDAC-2014-HenkelBZRS #architecture #dependence #multi
Multi-Layer Dependability: From Microarchitecture to Application Level (JH, LB, HZ, SR, MS), p. 6.
DATEDATE-2014-ChandraMCCM
Cross layer resiliency in real world (VC, SM, CYC, SMM), p. 1.
DATEDATE-2014-KimHPSL #approach #implementation #modelling #testing
A layered approach for testing timing in the model-based implementation (BK, HIH, TP, SHS, IL), pp. 1–4.
DATEDATE-2014-KimSXWPC #android #fine-grained #monitoring #named #smarttech
FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring (KK, DS, QX, YW, MP, NC), pp. 1–6.
DATEDATE-2014-LiuCW
Metal layer planning for silicon interposers with consideration of routability and manufacturing cost (WHL, TKC, TCW), pp. 1–6.
DATEDATE-2014-WangLS #named #parallel #semantics
p-OFTL: An object-based semantic-aware parallel flash translation layer (WW, YL, JS), pp. 1–6.
DocEngDocEng-2014-FerreiraLFSR #assessment #representation #similarity
A new sentence similarity assessment measure based on a three-layer sentence representation (RF, RDL, FF, SJS, MR), pp. 25–34.
DocEngDocEng-2014-SouzaMH #metadata #named #using
ARCTIC: metadata extraction from scientific papers in pdf using two-layer CRF (AS, VPM, CAH), pp. 121–130.
PEPMPEPM-J-2013-Garcia-PerezN14 #automaton #functional #hybrid #on the
On the syntactic and functional correspondence between hybrid (or layered) normalisers and abstract machines (ÁGP, PN), pp. 176–199.
HCIHCI-TMT-2014-LiXTW #research #visual notation
Color Saliency Research on Visual Perceptual Layering Method (JL, CX, WT, XW), pp. 86–97.
EDOCEDOC-2014-NardiFA #analysis #modelling #ontology
An Ontological Analysis of Service Modeling at ArchiMate’s Business Layer (JCN, RdAF, JPAA), pp. 92–100.
SEKESEKE-2014-DalliloAF #approach #multi #process
Making the link between strategy and process model collections: a multi-layered approach (FD, JPdA, MF), pp. 387–392.
SEKESEKE-2014-DaubalDDA #concept
Tracing Domain Data Concepts in Layered Applications (MD, ND, DBD, HUA), pp. 292–297.
ECMFAECMFA-2014-BenelallamGSTL #emf #modelling #persistent #scalability
Neo4EMF, A Scalable Persistence Layer for EMF Models (AB, AG, GS, MT, DL), pp. 230–241.
ECOOPECOOP-2014-LavoieDF #javascript #monitoring #performance #runtime #using #virtual machine
Portable and Efficient Run-time Monitoring of JavaScript Applications Using Virtual Machine Layering (EL, BD, MF), pp. 541–566.
SACSAC-2014-HusemannR #multi #predict #scalability #video
Introduction of a multi-layer predictive search strategy for scalable video coding (RH, VR), pp. 985–986.
HPCAHPCA-2014-ElwellRAP #architecture #memory management
A Non-Inclusive Memory Permissions architecture for protection against cross-layer attacks (JE, RR, NBAG, DP), pp. 201–212.
CASECASE-2013-HanzG #abstraction #cyber-physical #mobile
An abstraction layer for controlling heterogeneous mobile cyber-physical systems (TH, MG), pp. 117–121.
DACDAC-2013-SunWL #design #memory management #power management
Cross-layer racetrack memory design for ultra high density and low power consumption (ZS, WW, HHL), p. 6.
DATEDATE-2013-RaminiGBB #3d #analysis #manycore #power management #using
Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis (LR, PG, SB, DB), pp. 1589–1594.
DATEDATE-2013-WeiLSHAS #design #effectiveness #named
CATALYST: planning layer directives for effective design closure (YW, ZL, CCNS, SH, CJA, SSS), pp. 1873–1878.
DATEDATE-2013-WettinMPSG #approach #design #energy #manycore
Energy-efficient multicore chip design through cross-layer approach (PW, JM, PPP, BS, AG), pp. 725–730.
ICDARICDAR-2013-BaroniaN #reduction #using
Ink-Bleed Reduction Using Layer Separation (SB, AMN), pp. 215–219.
ICDARICDAR-2013-CecottiV13a #multi #recognition
A Radial Neural Convolutional Layer for Multi-oriented Character Recognition (HC, SV), pp. 668–672.
ICPCICPC-2013-KobayashiKYKM #architecture #visualisation
SArF map: Visualizing software architecture from feature and layer viewpoints (KK, MK, KY, KK, AM), pp. 43–52.
CHICHI-2013-HachisuK #interactive #named
HACHIStack: dual-layer photo touch sensing for haptic and auditory tapping interaction (TH, HK), pp. 1411–1420.
HCIDUXU-WM-2013-Zhang #evaluation
User-Centered Evaluation of a Discovery Layer System with Google Scholar (TZ), pp. 313–322.
HCIHCI-IMT-2013-SakairiTKG #editing #multi #using #visual notation
Multi-layer Control and Graphical Feature Editing Using Server-Side Rendering on Ajax-GIS (TS, TT, KK, YG), pp. 722–729.
CAiSECAiSE-2013-BoukraaBBZ #multi
A Layered Multidimensional Model of Complex Objects (DB, OB, FB, DEZ), pp. 498–513.
ICEISICEIS-v2-2013-OgataK #multi #optimisation #parametricity
The Parameter Optimization in Multiple Layered Deduplication System (MO, NK), pp. 143–150.
SEKESEKE-2013-BelleEDM #architecture #optimisation #problem #question
The Layered Architecture revisited: Is it an Optimization Problem? (ABB, GEB, CD, HM), pp. 344–349.
SACSAC-2013-KimGKTSK #optimisation
Maximizing availability of content in disruptive environments by cross-layer optimization (MK, AG, JMK, DT, MOS, JSK), pp. 447–454.
SACSAC-2013-LeeJS #locality
Demand-based flash translation layer considering spatial locality (YL, TJ, IS), pp. 1550–1551.
CGOCGO-2013-DioufCR #heuristic #polynomial
A polynomial spilling heuristic: Layered allocation (BD, AC, FR), p. 10.
LCTESLCTES-2013-WangLWS #hybrid #memory management #named #reduction
FTL2: a hybrid flash translation layer with logging for write reduction in flash memory (TW, DL, YW, ZS), pp. 91–100.
DACDAC-2012-BathenD #distributed #hybrid #named
HaVOC: a hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories (LADB, ND), pp. 447–452.
DACDAC-2012-LiuCL #algorithm #multi #performance
An efficient algorithm for multi-layer obstacle-avoiding rectilinear Steiner tree construction (CHL, ICC, DTL), pp. 613–622.
DATEDATE-2012-HsuCCLC #effectiveness #on the #pseudo
On effective flip-chip routing via pseudo single redistribution layer (HWH, MLC, HMC, HCL, SHC), pp. 1597–1602.
DATEDATE-2012-ZambelliIFCPOB #approach #trade-off
A cross-layer approach for new reliability-performance trade-offs in MLC NAND flash memories (CZ, MI, MF, SDC, PP, PO, DB), pp. 881–886.
CSMRCSMR-2012-KazatoHOMHS #concept analysis #feature model #multi
Feature Location for Multi-Layer System Based on Formal Concept Analysis (HK, SH, SO, SM, TH, MS), pp. 429–434.
MSRMSR-2012-GuanaRHS #analysis #android #architecture #multi
Do the stars align? Multidimensional analysis of Android’s layered architecture (VG, FR, AH, ES), pp. 124–127.
CHICHI-2012-SpindlerMD #interactive #multi
Going beyond the surface: studying multi-layer interaction above the tabletop (MS, MM, RD), pp. 1277–1286.
CIKMCIKM-2012-Jin #analysis #multi #process #profiling
User activity profiling with multi-layer analysis (HJ), pp. 2030–2034.
ICPRICPR-2012-CrandallFP #modelling #probability #using #visual notation
Layer-finding in radar echograms using probabilistic graphical models (DJC, GCF, JP), pp. 1530–1533.
ICPRICPR-2012-MolnarKJ #multi
A multi-layer phase field model for extracting multiple near-circular objects (CM, ZK, IJ), pp. 1427–1430.
KDDKDD-2012-BodenGHS #graph #mining #multi
Mining coherent subgraphs in multi-layer graphs with edge labels (BB, SG, HH, TS), pp. 1258–1266.
MODELSMoDELS-2012-BesovaWWB #composition #multi
Weaving-Based Configuration and Modular Transformation of Multi-layer Systems (GB, SW, HW, SB), pp. 776–792.
MODELSMoDELS-2012-BesovaWWB #composition #multi
Weaving-Based Configuration and Modular Transformation of Multi-layer Systems (GB, SW, HW, SB), pp. 776–792.
PPDPPPDP-2012-FilipiukNN #fixpoint #logic
Layered fixed point logic (PF, FN, HRN), pp. 45–54.
SACSAC-2012-ScandurraRPMC #adaptation #coordination #framework #optimisation #resource management
A layered coordination framework for optimizing resource allocation in adapting cloud-based applications (PS, CR, PP, RM, RC), pp. 471–472.
FSEFSE-2012-NguyenNNN #approach #debugging #multi
Multi-layered approach for recovering links between bug reports and fixes (ATN, TTN, HAN, TNN), p. 63.
HPDCHPDC-2012-GamellRPM #framework #power management
Exploring cross-layer power management for PGAS applications on the SCC platform (MG, IR, MP, RM), pp. 235–246.
CASECASE-2011-PurwinsNBHKLPW #predict
Regression methods for prediction of PECVD Silicon Nitride layer thickness (HP, AN, BB, UH, AK, BL, GP, KW), pp. 387–392.
DACDAC-2011-MaYW #algorithm
An optimal algorithm for layer assignment of bus escape routing on PCBs (QM, EFYY, MDFW), pp. 176–181.
DACDAC-2011-QinWLSG #memory management #named #performance
MNFTL: an efficient flash translation layer for MLC NAND flash memory storage systems (ZQ, YW, DL, ZS, YG), pp. 17–22.
DATEDATE-2011-ChenLCP #3d #design #memory management #named
3D-ICML: A 3D bipolar ReRAM design with interleaved complementary memory layers (YCC, HL, YC, REP), pp. 583–586.
DATEDATE-2011-HuangHL #fault
Cross-layer optimized placement and routing for FPGA soft error mitigation (KH, YH, XL), pp. 58–63.
DATEDATE-2011-WangLQS #memory management #reuse
An endurance-enhanced Flash Translation Layer via reuse for NAND flash memory storage systems (YW, DL, ZQ, ZS), pp. 14–19.
SIGMODSIGMOD-2011-MaFL #memory management #named
LazyFTL: a page-level flash translation layer optimized for NAND flash memory (DM, JF, GL), pp. 1–12.
SFMSFM-2011-InverardiST #synthesis
Application-Layer Connector Synthesis (PI, RS, MT), pp. 148–190.
SFMSFM-2011-IssarnyBB #middleware #state of the art #synthesis
Middleware-Layer Connector Synthesis: Beyond State of the Art in Middleware Interoperability (VI, AB, YDB), pp. 217–255.
CHICHI-2011-LiuSII #interface #multi #named #sketching
Roboshop: multi-layered sketching interface for robot housework assignment and management (KL, DS, MI, TI), pp. 647–656.
HCIHCD-2011-BurkhardtNBSK #named #semantics #using
SemaZoom: Semantics Exploration by Using a Layer-Based Focus and Context Metaphor (DB, KN, MB, CS, AK), pp. 491–499.
HCIIDGD-2011-HwangY #interface #multi
Accommodating Both Expert Users and Novice Users in One Interface by Utilizing Multi-layer Interface in Complex Function Products (TKPH, HYY), pp. 159–165.
ICEISICEIS-v4-2011-WuW #enterprise #multi
A Multi-layer Tree Model for Enterprise Vulnerability Management (BW, AJAW), pp. 389–394.
KEODKEOD-2011-Bab #integration #logic #on the
On the Integration of Knowledge in a Propositional Logical Layer (SB), pp. 299–303.
SIGIRSIGIR-2011-LeeHWHS #dataset #graph #image #learning #multi #pipes and filters #scalability #using
Multi-layer graph-based semi-supervised learning for large-scale image datasets using mapreduce (WYL, LCH, GLW, WHH, YFS), pp. 1121–1122.
SACSAC-2011-ChungPK #memory management #performance #scalability
LSTAFF*: an efficient flash translation layer for large block flash memory (TSC, DJP, JK), pp. 589–594.
SACSAC-2011-MitakeKCN #embedded #manycore #realtime
Coexisting real-time OS and general purpose OS on an embedded virtualization layer for a multicore processor (HM, YK, AC, TN), pp. 629–630.
ESEC-FSEESEC-FSE-2011-DemuthLE #consistency #flexibility #modelling #multi
Cross-layer modeler: a tool for flexible multilevel modeling with consistency checking (AD, RELH, AE), pp. 452–455.
ECSAECSA-2010-SaraivaSF #architecture #aspect-oriented #impact analysis
Assessing the Impact of AOSD on Layered Software Architectures (JS, SS, FCF), pp. 344–351.
ASEASE-2010-TajalliGEM #adaptation #architecture #modelling #named
PLASMA: a plan-based layered architecture for software model-driven adaptation (HT, JG, GE, NM), pp. 467–476.
DACDAC-2010-HsuPH #approach #data flow #modelling #physics #simulation
A mixed-mode vector-based dataflow approach for modeling and simulating LTE physical layer (CJH, JLP, FJH), pp. 18–23.
DACDAC-2010-WuSDDXDL #3d #integration
Cost-driven 3D integration with interconnect layers (XW, GS, XD, RD, YX, CRD, JL), pp. 150–155.
DATEDATE-2010-CarterNG #design
Design techniques for cross-layer resilience (NPC, HN, DSG), pp. 1023–1028.
DATEDATE-2010-ChanHBBC #analysis #named #network
PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks (JC, GH, AB, KB, LPC), pp. 691–696.
DATEDATE-2010-DeHonQC #challenge #energy #optimisation #reliability
Vision for cross-layer optimization to address the dual challenges of energy and reliability (AD, HMQ, NPC), pp. 1017–1022.
DATEDATE-2010-FerroP #modelling #semantics #transaction #verification
Formal semantics for PSL modeling layer and application to the verification of transactional models (LF, LP), pp. 1207–1212.
DATEDATE-2010-MitraBS #challenge #metric #optimisation
Cross-layer resilience challenges: Metrics and optimization (SM, KB, PNS), pp. 1029–1034.
DATEDATE-2010-SrivastavaSB #3d #performance
Efficient 3D high-frequency impedance extraction for general interconnects and inductors above a layered substrate (NS, RS, KB), pp. 459–464.
DATEDATE-2010-WuLCT #abstraction #automation #generative #multi #performance
Automatic generation of software TLM in multiple abstraction layers for efficient HW/SW co-simulation (MHW, WCL, CYC, RST), pp. 1177–1182.
CHICHI-2010-FuXH #3d #interactive #interface #multi #named
LayerPaint: a multi-layer interactive 3D painting interface (CWF, JX, YH), pp. 811–820.
CHICHI-2010-HongCSCK #named
FeedWinnower: layering structures over collections of information streams (LH, GC, BS, EHC, SK), pp. 947–950.
CHICHI-2010-WalshDGFGHBF #co-evolution #design
Layered elaboration: a new technique for co-design with children (GW, AD, MLG, EF, EG, LH, EMB, SF), pp. 1237–1240.
ICEISICEIS-AIDSS-2010-SilvaCRN #assessment #fault #identification #network
Assessment of the Change in the Number of Neurons in Hidden Layers of Neural Networks for Fault Identification in Electrical Systems (DTdS, PHGC, JAPR, LBN), pp. 309–313.
ICEISICEIS-ISAS-2010-GradlMWK #enterprise #modelling #network #process #using
Modeling ERP Business Processes using Layered Queueing Networks (SG, MM, HW, HK), pp. 255–260.
ICEISICEIS-SAIC-2010-MiguelCVGH #architecture #multi
B2C and C2C e-Marketplaces — A Multi-layer/Multi-agent Architecture to Support them (RM, JJCS, DV, CGM, VH), pp. 100–105.
CIKMCIKM-2010-RohLP
Yet another write-optimized DBMS layer for flash-based solid state storage (HR, DL, SP), pp. 1345–1348.
ICPRICPR-2010-DaiYW #classification #image
Three-layer Spatial Sparse Coding for Image Classification (DD, WY, TW), pp. 613–616.
KRKR-2010-Li #graph #representation
A Layered Graph Representation for Complex Regions (SL).
SEKESEKE-2010-KongZLR #adaptation #design #interface #multimodal #pervasive
A Cross-Layer Design for Adaptive Multimodal Interfaces in Pervasive Computing (JK, WZ, JL, AGR), pp. 726–731.
MODELSMoDELS-v1-2010-LaraG #concept #metamodelling #mixin
Generic Meta-modelling with Concepts, Templates and Mixin Layers (JdL, EG), pp. 16–30.
SACSAC-2010-EcksteinHW #approach #multi #named #visual notation
LFRP-search: multi-layer ranked visual faceted search: an approach to cope with complex search situations (RE, AH, NW), pp. 1713–1717.
SACSAC-2010-HirschfeldPSA #contract
Dynamic contract layers (RH, MP, CS, MA), pp. 2169–2175.
SACSAC-2010-LeeKM #named #performance #random
ReSSD: a software layer for resuscitating SSDs from poor small random write performance (YL, JSK, SM), pp. 242–243.
SACSAC-2010-OliverSF #abstraction #network #operating system
An operating system abstraction layer for portable applications in wireless sensor networks (RSO, IS, GF), pp. 742–748.
SACSAC-2010-PizzolatoAP #architecture #automation #recognition
Automatic recognition of finger spelling for LIBRAS based on a two-layer architecture (EBP, MdSA, GCP), pp. 969–973.
SACSAC-2010-ScannielloDDD #approach #architecture
An approach for architectural layer recovery (GS, AD, CD, TD), pp. 2198–2202.
HPDCHPDC-2010-RivasAN #architecture #named #realtime
Janus: a cross-layer soft real-time architecture for virtualization (RR, MAA, KN), pp. 676–683.
LCTESLCTES-2010-WangLWQSG #memory management #named
RNFTL: a reuse-aware NAND flash translation layer for flash memory (YW, DL, MW, ZQ, ZS, YG), pp. 163–172.
CBSECBSE-2009-HauckKKR #component #execution #modelling #performance #predict
Modelling Layered Component Execution Environments for Performance Prediction (MH, MK, KK, RHR), pp. 191–208.
WICSA-ECSAWICSA-ECSA-2009-SavolainenM #architecture #comparison #research
Layered architecture revisited — Comparison of research and practice (JS, VM), pp. 317–320.
DATEDATE-2009-AlimohammadFC #algorithm #architecture #development #flexibility #verification
A flexible layered architecture for accurate digital baseband algorithm development and verification (AA, SFF, BFC), pp. 45–50.
DATEDATE-2009-MylavarapuCSLG #file system #named
FSAF: File system aware flash translation layer for NAND Flash Memories (SKM, SC, AS, JL, TG), pp. 399–404.
ICDARICDAR-2009-ChiangK #automation
A Method for Automatically Extracting Road Layers from Raster Maps (YYC, CAK), pp. 838–842.
CHICHI-2009-BonanniXHSISS #image #multi #named
Wetpaint: scraping through multi-layered images (LB, XX, MH, PS, HI, MS, JPS), pp. 571–574.
CHICHI-2009-HeerKA #visual notation #visualisation
Sizing the horizon: the effects of chart size and layering on the graphical perception of time series visualizations (JH, NK, MA), pp. 1303–1312.
HCIHCD-2009-MarcengoGR #design #effectiveness #research
Personas Layering: A Cost Effective Model for Service Design in Medium-Long Term Telco Research Projects (AM, EG, AR), pp. 256–265.
ICEISICEIS-ISAS-2009-OussenaB #analysis #implementation #modelling #process #using
Layered Process Models — Analysis and Implementation (using MDA Principles) (SO, BSB), pp. 168–175.
ICEISICEIS-J-2009-IorioSVM #approach #detection #documentation #multi
A Natural and Multi-layered Approach to Detect Changes in Tree-Based Textual Documents (ADI, MS, FV, CM), pp. 90–101.
SEKESEKE-2009-McElroyR #approach #nondeterminism
A Layered Approach for Planning Releases under Uncertain Capacities (JM, GR), pp. 649–654.
PADLPADL-2009-PereiraP #logic programming #modelling #query #source code #top-down
Layered Models Top-Down Querying of Normal Logic Programs (LMP, AMP), pp. 254–268.
SACSAC-2009-GarciaBG #estimation
Cross-layer cooperation between membership estimation and routing (JCG, SB, PG), pp. 8–15.
SACSAC-2009-KangGC #predict #scalability
A new inter-layer prediction scheme for spatial scalability with different frame rates (JK, GG, KC), pp. 1779–1783.
ASPLOSASPLOS-2009-GuptaKU #named
DFTL: a flash translation layer employing demand-based selective caching of page-level address mappings (AG, YK, BU), pp. 229–240.
WICSAWICSA-2008-ChoiPN #adaptation #architecture #network
A Software Architecture for Cross-Layer Wireless Network Adaptations (SHC, DEP, SN), pp. 281–284.
DATEDATE-2008-KimSTDV #adaptation #constraints #online #refinement
Constraint Refinement for Online Verifiable Cross-Layer System Adaptation (MK, MOS, CLT, ND, NV), pp. 646–651.
DATEDATE-2008-SrivastavaSB #multi
High-Frequency Mutual Impedance Extraction of VLSI Interconnects In the Presence of a Multi-layer Conducting Substrate (NS, RS, KB), pp. 426–431.
VLDBVLDB-2008-KoltsidasV
Flashing up the storage layer (IK, SV), pp. 514–525.
ICGTICGT-2008-Horvath #approach #graph transformation #towards #verification
Towards a Two Layered Verification Approach for Compiled Graph Transformation (ÁH), pp. 499–501.
CHICHI-2008-LinL #design #prototype #user interface
Employing patterns and layers for early-stage design and prototyping of cross-device user interfaces (JL, JAL), pp. 1313–1322.
ICEISICEIS-ISAS2-2008-Prpitsch #process #using
From Process to Software Systems’ Service — Using a Layered Model to Connect Technical and Process-related Views (CP), pp. 298–304.
ICEISICEIS-ISAS2-2008-TobarraCPC #protocol #verification
Formal Verification of the Secure Sockets Layer Protocol (MLT, DC, JJP, FC), pp. 246–252.
ICPRICPR-2008-LiuLLJT #graph #probability #representation
Layered shape matching and registration: Stochastic sampling with hierarchical graph representation (XL, LL, HL, HJ, WT), pp. 1–4.
ICPRICPR-2008-NaturelO #approach #detection #statistics
Detecting queues at vending machines: A statistical layered approach (XN, JMO), pp. 1–4.
ICPRICPR-2008-RavesteijnVSTV #classification
Thin layer tissue classification for electronic cleansing of CT colonography data (VFvR, FMV, IS, RT, LJvV), pp. 1–5.
ICPRICPR-2008-WuBB #automation #segmentation #video
Automatic bi-layer video segmentation based on sensor fusion (QW, PB, WFB), pp. 1–4.
ICPRICPR-2008-YangYZC #categorisation
Layered object categorization (LY, JY, NZ, HC), pp. 1–4.
MODELSMoDELS-2008-SinhaKPW #case study #modelling #requirements #using #validation
Requirements Modeling and Validation Using Bi-layer Use Case Descriptions (AS, MK, AMP, CW), pp. 97–112.
MODELSMoDELS-2008-SinhaKPW #case study #modelling #requirements #using #validation
Requirements Modeling and Validation Using Bi-layer Use Case Descriptions (AS, MK, AMP, CW), pp. 97–112.
SACSAC-2008-Al-GahmiC #middleware #runtime #towards
Towards a service-based middleware layer for runtime environments (AAG, JEC), pp. 507–511.
SACSAC-2008-DuraoVAM #code search #semantics #source code
Applying a semantic layer in a source code search tool (FAD, TAV, ESdA, SRdLM), pp. 1151–1157.
HPDCHPDC-2008-Santos-NetoAAGR #metadata #optimisation
enabling cross-layer optimizations in storage systems with custom metadata (ESN, SAK, NA, SG, MR), pp. 213–216.
ICSTICST-2008-RahaJ #automation #testing #xml
Automation Method for Testing XML/DB/XML Layers (DR, MJ), pp. 458–464.
ICSTICST-2008-YoonJC #generative #requirements
Generating Test Requirements for the Service Connections based on the Layers of SOA (HY, EMJ, BC), pp. 348–355.
ECSAECSA-2007-Martinez-PrietoCF #architecture #xml
Aqueducts : A Layered Pipeline-Based Architecture for XML Processing (MAMP, CEC, PdlF), pp. 313–316.
DACDAC-2007-LuLJ #network
Layered Switching for Networks on Chip (ZL, ML, AJ), pp. 122–127.
DATEDATE-2007-EckerESSVH #abstraction #interactive #performance #representation #simulation
Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance (WE, VE, LS, TS, MV, MH), pp. 767–772.
DATEDATE-2007-GrassmannRS #architecture #multi #physics #standard
Mapping the physical layer of radio standards to multiprocessor architectures (CG, MR, MS), pp. 1412–1417.
DocEngDocEng-2007-Sellman
VDP templates with theme-driven layer variants (RS), pp. 53–55.
ICDARICDAR-2007-WeiKL
Bibliographic Attributes Extraction with Layer-upon-Layer Tagging (WW, IK, JHML), pp. 804–808.
FASEFASE-2007-PadbergHEMBE #ad hoc #architecture #consistency #maintenance #mobile #network
Maintaining Consistency in Layered Architectures of Mobile Ad-Hoc Networks (JP, KH, HE, TM, EB, CE), pp. 383–397.
WCREWCRE-J-2005-AndreopoulosATW07 #clustering #multi #scalability
Clustering large software systems at multiple layers (BA, AA, VT, XW), pp. 244–254.
CHICHI-2007-KattinakereGS #interactive #modelling
Modeling steering within above-the-surface interaction layers (RSK, TG, SS), pp. 317–326.
HCIDHM-2007-XueMLD #fuzzy #generative #modelling
Modeling of Layered Fuzzy Facial Expression Generation (YLX, XM, ZL, WHD), pp. 243–252.
EDOCEDOC-2007-MohammadCWWS #architecture #multi #quality #security
A Multi-Layer Security Enabled Quality of Service (QoS) Management Architecture (AM, AC, GW, CW, RAS), pp. 423–434.
ICEISICEIS-AIDSS-2007-LiuCM #recognition #using
Named Entity Recognition in Biomedical Literature Using Two-Layer Support Vector Machines (FL, YC, BM), pp. 39–48.
ECIRECIR-2007-VildjiounaiteK #approach #modelling
A Layered Approach to Context-Dependent User Modelling (EV, SK), pp. 749–752.
SEKESEKE-2007-PengJRZ #case study #component #database #product line #reuse
Reuse of Database Access Layer Components in JEE Product Lines: Limitations and a Possible Solution (Case Study) (DP, SJ, DCR, HZ), pp. 308–313.
SEKESEKE-2007-RalhaAM #architecture #grid #semantics
A Four-layered Semantic Grid Architecture (CGR, JNCA, ACMAdM), p. 724–?.
SEKESEKE-2007-WeiAZ #effectiveness #framework #network #validation
Validating A Layered Decision Framework for Cost-Effective Network Defense (HW, JAF, DZ), pp. 105–110.
SIGIRSIGIR-2007-Jones #natural language
Natural language and the information layer (KSJ), pp. 3–6.
SACSAC-2007-CostanzaH
Reflective layer activation in ContextL (PC, RH), pp. 1280–1285.
ESEC-FSEESEC-FSE-2007-ChandrasekaranCJR #programming
Programming asynchronous layers with CLARITY (PC, CLC, JMJ, SKR), pp. 65–74.
HPDCHPDC-2007-LangeD #network #virtual machine
Transparent network services via a virtual traffic layer for virtual machines (JRL, PAD), pp. 23–32.
CAVCAV-2007-BruttomessoCFGHNPS #industrial #lazy evaluation #problem #smt #verification
A Lazy and Layered SMT(BV) Solver for Hard Industrial Verification Problems (RB, AC, AF, AG, ZH, AN, AP, RS), pp. 547–560.
DATEDATE-2006-KlingaufGG #architecture #named #transaction
TRAIN: a virtual transaction layer architecture for TLM-based HW/SW codesign of synthesizable MPSoC (WK, HG, RG), pp. 1318–1323.
DATEDATE-2006-Shrikumar #protocol
40Gbps de-layered silicon protocol engine for TCP record (HS), pp. 188–193.
DATEDATE-2006-WangM #algorithm #analysis #multi
A logarithmic full-chip thermal analysis algorithm based on multi-layer Green’s function (BW, PM), pp. 39–44.
DATEDATE-DF-2006-PapaefstathiouP #classification
A hardware-engine for layer-2 classification in low-storage, ultra-high bandwidth environments (VP, IP), pp. 112–117.
VLDBVLDB-2006-HabichRL #database #named #optimisation
GignoMDA — Exploiting Cross-Layer Optimization for Complex Database Applications (DH, SR, WL), p. 1251–?.
ICPCICPC-2006-StefikFA #comprehension #debugging #effectiveness #music #runtime #using
Layered Program Auralization: Using Music to Increase Runtime Program Comprehension and Debugging Effectiveness (AS, KF, RTA), pp. 89–93.
ICEISICEIS-DISI-2006-AntolliniCB #enterprise #implementation #information management
Implementing a High Level PUB/SUB Layer for Enterprise Information Systems (MA, MC, APB), pp. 54–62.
ICEISICEIS-SAIC-2006-NagiB
Recovery Services for the Planning Layer of Agents (KN, GB), pp. 138–146.
ICPRICPR-v1-2006-MinYM #multi
Multi-layer Mosaics in the Presence of Motion and Depth Effects (CM, QY, GGM), pp. 992–995.
ICPRICPR-v1-2006-RaghebH
Reflectance from Surfaces with Layers of Variable Roughness (HR, ERH), pp. 543–546.
ICPRICPR-v1-2006-WanH #adaptation
Adaptive, Region-based, Layered Background Model for Target Tracking (MW, JYH), pp. 803–807.
ICPRICPR-v2-2006-ChenY #modelling #using #video
Exploiting High Dimensional Video Features Using Layered Gaussian Mixture Models (DC, JY), pp. 1078–1081.
ICPRICPR-v2-2006-YangN #recognition #scalability #set
Layered Search Spaces for Accelerating Large Set Character Recognition (YY, MN), pp. 1006–1009.
ICPRICPR-v3-2006-DupontJK #robust #segmentation #sequence #video
Robust Segmentation of Hidden Layers in Video Sequences (RD, OJ, RK), pp. 75–78.
ICPRICPR-v3-2006-HeasMP #estimation
Dense Estimation of Layer Motions in the Atmosphere (PH, ÉM, NP), pp. 1–4.
SEKESEKE-2006-MaLL #component #design #research #testing
The Research and Design of Layered-metadata used for Component-based Software Testing (LM, YL, ML), pp. 720–723.
SEKESEKE-2006-MenolliD #architecture
A Data Warehouse Architecture in Layers for Science and Technology (ALAM, MMD), pp. 162–165.
MODELSMoDELS-2006-HendricksonJH #design #diagrams #process
Layered Class Diagrams: Supporting the Design Process (SAH, BJ, AvdH), pp. 722–736.
MODELSMoDELS-2006-HendricksonJH #design #diagrams #process
Layered Class Diagrams: Supporting the Design Process (SAH, BJ, AvdH), pp. 722–736.
ICSEICSE-2006-ApelLS #aspect-oriented #mixin
Aspectual mixin layers: aspects and features in concert (SA, TL, GS), pp. 122–131.
OSDIOSDI-2006-EeFKMTCSS #composition #network
A Modular Network Layer for Sensornets (CTE, RF, SK, DM, AT, DEC, SS, IS), pp. 249–262.
CBSECBSE-2005-SharmaJT #architecture #performance
Evaluating Performance Attributes of Layered Software Architecture (VSS, PJ, KST), pp. 66–81.
WICSAWICSA-2005-SantosC #approach #architecture #multi
An MDA Approach for a Multi-Layered Satellite On-Board Software Architecture (WADS, AMdC), pp. 253–256.
CASECASE-2005-ChangLJ #detection #fault #network #using
Using a two-layer competitive Hopfield neural network for semiconductor wafer defect detection (CYC, SYL, MJ), pp. 301–306.
DACDAC-2005-EberleBPC #communication #design #energy
From myth to methodology: cross-layer design for energy-efficient wireless communication (WE, BB, SP, FC), pp. 303–308.
DACDAC-2005-XuGFM
A green function-based parasitic extraction method for inhomogeneous substrate layers (CX, RG, TSF, KM), pp. 141–146.
DATEDATE-2005-DasygenisBDCST #energy #memory management #performance
A Memory Hierarchical Layer Assigning and Prefetching Technique to Overcome the Memory Performance/Energy Bottleneck (MD, EB, BD, FC, DS, AT), pp. 946–947.
DATEDATE-2005-Martin #component #design #transaction
Design of a Virtual Component Neutral Network-on-Chip Transaction Layer (PM), pp. 336–337.
TACASTACAS-2005-BozzanoBCJRSS #incremental #linear #logic #satisfiability
An Incremental and Layered Procedure for the Satisfiability of Linear Arithmetic Logic (MB, RB, AC, TAJ, PvR, SS, RS), pp. 317–333.
WCREWCRE-2005-AndreopoulosATW #clustering #multi #scalability
Multiple Layer Clustering of Large Software Systems (BA, AA, VT, XW), pp. 79–88.
ICEISICEIS-v4-2005-TosicZ #fault tolerance
Generic Fault-Tolerant Layer Supporting Publish/Subscribe Messaging (MT, ABZ), pp. 111–118.
ECMFAECMDA-FA-2005-Pahl #architecture #modelling #ontology #web #web service
Layered Ontological Modelling for Web Service-Oriented Model-Driven Architecture (CP), pp. 88–102.
DATEDATE-DF-2004-DiazS #physics
Clock Management in a Gigabit Ethernet Physical Layer Transceiver Circuit (JCD, MS), pp. 134–139.
DATEDATE-v1-2004-SzymanekCK #architecture #design #energy #memory management #multi
Time-Energy Design Space Exploration for Multi-Layer Memory Architectures (RS, FC, KK), pp. 318–323.
DATEDATE-v2-2004-YooYBJD #concept #design #multi #using
Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software (SY, MWY, AB, AAJ, MDN), pp. 1382–1383.
SEFMSEFM-2004-HeJ #transaction #verification
Verification of the WAP Transaction Layer (YTH, RJ), pp. 366–375.
SEFMSEFM-2004-LaibinisT #architecture #fault tolerance #specification
Fault Tolerance in a Layered Architecture: A General Specification Pattern in B (LL, ET), pp. 346–355.
ICEISICEIS-v4-2004-RibeiroL #abstraction #multi #semantics #web
Multi-Agent Systems and the Semantic Web — The Semanticcore Agent-Based Abstraction Layer (MR, CJPdL), pp. 263–270.
ICPRICPR-v3-2004-CuiG #recognition
Support Vector Machines for Face Recognition with Two-layer Generated Virtual Data (GC, WG), pp. 570–573.
ICPRICPR-v3-2004-HaugerW #multi
ECOC and Boosting with Multi-Layer Perceptrons (SH, TW), pp. 458–461.
ICPRICPR-v4-2004-HeroorC #detection #graph #segmentation
Affine Layer Segmentation and Adjacency Graphs for Vortex Detection (SH, IC), pp. 223–226.
ATEMATEM-2003-CoxC04 #modelling #source code
Three-Layered Source-Code Modelling (AC, CLAC), pp. 71–79.
DATEDATE-2003-BrockmeyerMCC #energy #memory management #multi
Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations (EB, MM, HC, FC), pp. 11070–11075.
DATEDATE-2003-CassidyPT #concurrent #design #multi #performance #thread
Layered, Multi-Threaded, High-Level Performance Design (ASC, JMP, DET), pp. 10954–10959.
DATEDATE-2003-YooBBPJ #abstraction #hardware #modelling #performance #simulation
Building Fast and Accurate SW Simulation Models Based on Hardware Abstraction Layer and Simulation Environment Abstraction Layer (SY, IB, AB, YP, AAJ), pp. 10550–10555.
DATEDATE-2003-YooJ #abstraction #hardware
Introduction to Hardware Abstraction Layers for SoC (SY, AAJ), pp. 10336–10337.
HTHT-2003-SignerN #multi
Multi-layered cross-media linking (BS, MCN), pp. 106–107.
ESOPESOP-2003-AltisenCMR #using
Using Controller-Synthesis Techniques to Build Property-Enforcing Layers (KA, AC, FM, ÉR), pp. 174–188.
ICEISICEIS-v3-2003-Poels #concept #functional #metric #modelling
Functional Size Measurement of Layered Conceptual Models (GP), pp. 411–416.
ICEISICEIS-v4-2003-EspinosaRGG #modelling #personalisation
Users-Tailored E-Bussines Through a Three-Layer Personalization Model Based on Agents (ELE, ILR, GCG, MÁGN), pp. 444–447.
CIKMCIKM-2003-ClarkeTTHC #distributed #information retrieval #reliability
A reliable storage management layer for distributed information retrieval systems (CLAC, PLT, AQLT, KH, ASC), pp. 207–215.
CIKMCIKM-2003-SuJR #algebra #framework #named #xml
Raindrop: a uniform and layered algebraic framework for XQueries on XML streams (HS, JJ, EAR), pp. 279–286.
SEKESEKE-2003-LongoAFG #architecture #multi
A Layered Architecture to Manage Complex Multimedia Services (ML, PA, AF, NG), pp. 414–421.
LCTESLCTES-2003-CaspiCMSTN #approach #distributed #embedded
From simulink to SCADE/lustre to TTA: a layered approach for distributed embedded applications (PC, AC, AM, CS, ST, PN), pp. 153–162.
LCTESLCTES-2003-PratapCSP #abstraction #embedded
Transport layer abstraction in event channels for embedded systems (RP, RC, DCS, EP), pp. 144–152.
TLCATLCA-2003-Konecny #data type #functional
Functional In-Place Update with Layered Datatype Sharing (MK), pp. 195–210.
VMCAIVMCAI-2003-MeenakshiR #message passing #reasoning
Reasoning about Layered Message Passing Systems (BM, RR), pp. 268–282.
DACDAC-2002-MemikM #flexibility #network
A flexible accelerator for layer 7 networking applications (GM, WHMS), pp. 646–651.
DATEDATE-2002-PaulT #approach #modelling #virtual machine
A Layered, Codesign Virtual Machine Approach to Modeling Computer Systems (JMP, DET), pp. 522–528.
CAiSECAiSE-2002-VdovjakH #design #semantics
Providing the Semantic Layer for WIS Design (RV, GJH), pp. 584–599.
ECIRECIR-2002-CamposFH #documentation #network #retrieval
A Layered Bayesian Network Model for Document Retrieval (LMdC, JMFL, JFH), pp. 169–182.
ICPRICPR-v1-2002-DengT #novel #recognition
A Novel Two-Layer PCA/MDA Scheme for Hand Posture Recognition (JD, HTT), pp. 283–286.
ICPRICPR-v3-2002-NicolescuM #segmentation
4-D Voting for Matching, Densification and Segmentation into Motion Layers (MN, GGM), pp. 303–308.
ICPRICPR-v3-2002-OngRV #gesture
Deciphering Layered Meaning in Gestures (SCWO, SR, YVV), p. 815–?.
ICPRICPR-v3-2002-WongLC #multi #network #recognition #using
Handwritten Digit Recognition Using Multi-Layer Feedforward Neural Networks with Periodic and Monotonic Activation Functions (KWW, CSL, SJC), pp. 106–109.
ICPRICPR-v4-2002-FujiyoshiK #detection #multi
Layered Detection for Multiple Overlapping Objects (HF, TK), pp. 156–161.
SEKESEKE-2002-TepfenhartRW #development #framework
A product focused, layered software development framework (WMT, DR, DW), pp. 473–475.
ECOOPECOOP-2002-Ostermann #composition
Dynamically Composable Collaborations with Delegation Layers (KO), pp. 89–110.
SACSAC-2002-LuoYT #content management
Content management on server farm with layer-7 routing (MYL, CSY, CWT), pp. 1134–1139.
CAVCAV-2002-BarnerGG #backtracking #locality #re-engineering #reduction
Symbolic Localization Reduction with Reconstruction Layering and Backtracking (SB, DG, AG), pp. 65–77.
RTARTA-2002-SekiTFK #term rewriting
Layered Transducing Term Rewriting System and Its Recognizability Preserving Property (HS, TT, YF, YK), pp. 98–113.
WICSAWICSA-2001-Schwanke #architecture
Layers, Decisions, Patterns, Styles, and Architectures (RWS), pp. 137–147.
DATEDATE-2001-DielissenMBHSHW #power management
Power-efficient layered turbo decoder processor (JD, JLvM, MB, FH, SS, JH, AvdW), pp. 246–251.
CHICHI-2001-Muller #analysis
Layered participatory analysis: new developments in the CARD technique (MJM), pp. 90–97.
CAiSECAiSE-2001-RoantreeKB #information management #integration #metadata #using
Using a Metadata Software Layer in Information Systems Integration (MR, JBK, PJB), pp. 299–314.
EDOCEDOC-2001-LiuKL #enterprise #modelling
Layered Queueing Models for Enterprise JavaBean Applications (TKL, SK, ZL), pp. 174–178.
ICEISICEIS-v1-2001-SharpED #architecture #multi
A Layered Architecture for Multi-Agent Systems to Support a Water Main Rehabilitation Strategy 299 (BS, ERE, AD), pp. 304–310.
TOOLSTOOLS-USA-2001-KhrissBP #integration #named
GAIL: The Gen-It (r) Abstract Integration Layer for B2B Application Integration Solutions (IK, MB, NP), pp. 73–82.
SACSAC-2001-CiSN #network #performance #using
Improving performance of MAC layer by using congestion control/avoidance methods in wireless network (SC, HS, GN), pp. 420–424.
SACSAC-2001-CunninghamW #abstraction #framework
Building a layered framework for the table abstraction (HCC, JW), pp. 668–674.
ICSEICSE-2001-CardoneL #framework #refinement
Comparing Frameworks and Layered Refinement (RC, CL), pp. 285–294.
HPDCHPDC-2001-SwanyW
The Logistical Session Layer (DMS, RW), p. 443–?.
LCTESLCTES-OM-2001-CadotKLRS #communication #embedded #multi #named
ENSEMBLE: A Communication Layer for Embedded Multi-Processor Systems (SC, FK, KL, KvR, HJS), pp. 56–63.
CAVCAV-2001-Cabodi #named #representation
Meta-BDDs: A Decomposed Representation for Layered Symbolic Manipulation of Boolean Functions (GC), pp. 118–130.
DACDAC-2000-SouriBMS #analysis #design #motivation #multi #performance
Multiple Si layer ICs: motivation, performance analysis, and design implications (SJS, KB, AM, KS), pp. 213–220.
DATEDATE-2000-VermeulenCMV #embedded #reuse
Formalized Three-Layer System-Level Reuse Model and Methodology for Embedded Data-Dominated Applications (FV, FC, HDM, DV), pp. 92–98.
ICPRICPR-v2-2000-WangXD #integration #multi #network #recognition
A New Integration Scheme with Multi-Layer Perceptron Networks for Handwritten Chinese Character Recognition (CHW, BX, RD), pp. 2961–2964.
SACSAC-2000-ColeZ #coordination #graph #independence #process
Activity Graphs: A Model-Independent Intermediate Layer for Skeletal Coordination (MC, AZ), pp. 255–261.
ICLPCL-2000-DavulcuYKR #design #experience #implementation #physics
Design and Implementation of the Physical Layer in WebBases: The XRover Experience (HD, GY, MK, IVR), pp. 1094–1105.
WICSAWICSA-1999-SiederslebenAFW #information management
Segregating the Layers of Business Information Systems (JS, GA, PF, JW), pp. 389–404.
DACDAC-1999-DoboliNDGV #behaviour #design #synthesis #using
Behavioral Synthesis of Analog Systems Using Two-layered Design Space Exploration (AD, ANA, NRD, SG, RV), pp. 951–957.
DATEDATE-1999-IkedaKNSYMNO #architecture #scalability #video
An MPEG-2 Video Encoder LSI with Scalability for HDTV based on Three-layer Cooperative Architecture (MI, TK, KN, KS, TY, TM, JN, TO), p. 44–?.
DATEDATE-1999-JacomePRL #design
The Design Space Layer: Supporting Early Design Space Exploration for Core-Based Designs (MFJ, HPP, AR, JCL), pp. 676–683.
ICDARICDAR-1999-WuS #classification #distance #multi #network #recognition #using
Unconstrained Handwritten Numeral Recognition using Hausdorff Distance and Multi-Layer Neural Network Classifier (XW, PS), pp. 249–252.
SIGMODSIGMOD-1999-DavulcuFKR #architecture #query #web
A Layered Architecture for Querying Dynamic Web Content (HD, JF, MK, IVR), pp. 491–502.
TACASTACAS-1999-HickeyLR #proving #specification
Specifications and Proofs for Ensemble Layers (JH, NAL, RvR), pp. 119–133.
HCIHCI-CCAD-1999-EndoKTHT
Cybercity walker — layered morphin method (TE, AK, HT, MH, TT), pp. 1044–1048.
AdaEuropeAdaEurope-1999-BliebergerKK #ada
Ada Binding to a Shared Object Layer (JB, JK, EK), pp. 263–274.
AdaEuropeAdaEurope-1999-Kamrad #ada
An Application (Layer 7) Routing Switch with Ada95 Software (JMKI), pp. 250–262.
AdaEuropeAdaEurope-1999-KamradS #ada #implementation #runtime
An Ada Runtime System Implementation of the Ravenscar Profile for High Speed Application-Layer Data Switch (JMKI, BAS), pp. 26–38.
TOOLSTOOLS-ASIA-1999-LiMCX
Object Mapping for Layered Cooperative Systems (CL, BM, DC, LX), pp. 67–76.
TOOLSTOOLS-ASIA-1999-Marinescu #inheritance #metric #multi #reuse
A Multi-Layered System of Metrics for the Measurement of Reuse by Inheritance (RM), pp. 146–155.
POPLPOPL-1999-Filinski #monad #representation
Representing Layered Monads (AF), pp. 175–188.
HPCAHPCA-1999-BilasJZS #approach #memory management #performance
Limits to the Performance of Software Shared Memory: A Layered Approach (AB, DJ, YZ, JPS), pp. 193–202.
HPCAHPCA-1999-PlaatBH #difference #latency #parallel #scalability
Sensitivity of Parallel Applications to Large Differences in Bandwidth and Latency in Two-Layer Interconnects (AP, HEB, RFHH), pp. 244–253.
CADECADE-1999-FrankeK #automation #communication #distributed #proving #theorem proving
System Description: MathWeb, an Agent-Based Communication Layer for Distributed Automated Theorem Proving (AF, MK), pp. 217–221.
DACDAC-1998-CongM #design #multi #performance
Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs (JC, PHM), pp. 356–361.
DACDAC-1998-ZhaoDKL #3d #performance
Efficient Three-Dimensional Extraction Based on Static and Full-Wave Layered Green’s Functions (JZ, WWMD, SK, DEL), pp. 224–229.
IWPCIWPC-1998-LagueLBMD #analysis #architecture #comprehension #framework
An Analysis Framework for Understanding Layered Software Architectures (BL, CL, ALB, EM, MD), pp. 37–44.
CIKMCIKM-1998-ChenA #database #documentation
Layered Index Structures in Document Database Systems (YC, KA), pp. 406–413.
ICPRICPR-1998-SalmanL #modelling #recognition #representation
A layered representation for model-based filtering and recognition (MS, ML), pp. 643–647.
KDDKDD-1998-RaoRC #adaptation #multi
Time Series Forecasting from High-Dimensional Data with Multiple Adaptive Layers (RBR, SR, FC), pp. 319–323.
ECOOPECOOP-1998-SmaragdakisB #design #implementation #mixin
Implementing Layered Designs with Mixin Layers (YS, DSB), pp. 550–570.
LOPSTRLOPSTR-1998-Rosenblueth #source code #using
An Exhaustive-Search Method Using Layered Streams Obtained Through a Meta-Interpreter for Chain Programs (DAR), pp. 322–324.
HPDCHPDC-1998-LauriaPC #communication #performance
Efficient Layering for High Speed Communication: Fast Messages 2.x (ML, SP, AAC), pp. 10–20.
HPDCHPDC-1998-YoussefAM #architecture #distributed #quality
The Software Architecture of a Distributed Quality of Session Control Layer (AY, HMAW, KM), pp. 21–28.
ICTSSIWTCS-1998-Gecse #consistency #hypermedia #internet #protocol #testing
Conformance Testing Methodology of Internet Protocols Internet Application-Layer Protocol Testing — the Hypertext Transfer Protocol (RG), pp. 35–48.
DACDAC-1997-ChangC #approach #multi #performance
An Efficient Approach to Multi-Layer Layer Assignment with Application to Via Minimization (CCC, JC), pp. 600–603.
DATEEDTC-1997-LiuS #graph #heuristic #multi #performance #using
Multi-layer chip-level global routing using an efficient graph-based Steiner tree heuristic (LCEL, CS), pp. 311–318.
DATEEDTC-1997-TsengS #multi #standard #using
A gridless multi-layer router for standard cell circuits using CTM cells (HPT, CS), pp. 319–326.
ICDARICDAR-1997-KeeniSN #distributed #network #on the #representation #using
On Distributed Representation of Output Layer for Recognizing Japanese Kana characters Using Neural Networks (KK, HS, KN), pp. 600–603.
ICDARICDAR-1997-SongS #algorithm #robust #self
Self-organizing Algorithm of Robust PCA Based on Single-layer NN (WS, SX), p. 851–?.
AdaTRI-Ada-1997-Gonzalez-BarahonaCQBL #ada #education #network #programming
Teaching Network Programming with Ada and Lower_Layer (JMGB, JCG, PdlHQ, FJBC, LLF), pp. 105–110.
HPDCHPDC-1997-HaydenR #communication #optimisation #protocol
Optimizing Layered Communication Protocols (MH, RvR), pp. 169–177.
ITiCSEITiCSE-1996-GrundkeZ #protocol #visualisation
Simulator for visualizing data link layer protocols (EWG, ZZ), p. 235.
WPCWPC-1996-KloeschM #comprehension
Improving Program Understanding by Unfolding Layers of Interacting Patterns (RK, RM), pp. 208–217.
ICPRICPR-1996-Grim #design #network
Maximum-likelihood design of layered neural networks (JG), pp. 85–89.
ICPRICPR-1996-UtschickN #adaptation #network
Bayesian adaptation of hidden layers in Boolean feedforward neural networks (WU, JAN), pp. 229–233.
OOPSLAOOPSLA-1996-Moller #functional #information management #logic #object-oriented #representation
A Functional Layer for Description Logics: Knowledge Representation Meets Object-Oriented Programing (RM), pp. 198–213.
ICSEICSE-1996-PaoliS #architecture #interactive #multi #requirements
Requirements for a Layered Software Architecture Supporting Cooperative Multi-User Interaction (FDP, AS), pp. 408–417.
ISSTAISSTA-1996-BarjaktarovicCJ #functional #kernel #protocol #specification #using #verification
Formal Specification and Verification of the Kernel Functional Unit of the OSI Session Layer Protocol and Service Using CCS (MB, SKC, KJ), pp. 270–279.
ICDARICDAR-v2-1995-RondelB #documentation #estimation #image #multi
Cooperation of multi-layer perceptrons for the estimation of skew angle in text document images (NR, GB), pp. 1141–1144.
ICDARICDAR-v2-1995-WangW #classification #multi #recognition
A multi-layer classifier for recognition of unconstrained handwritten numerals (GEW, JFW), pp. 849–852.
TACASTACAS-1995-Janssen #design #distributed
Layers as Knowledge Transitions in the Design of Distributed Systems (WJ), pp. 238–263.
ICSMEICSM-1995-Tilley #modelling #reverse engineering
Domain-retargetable reverse engineering. III. Layered modeling (SRT), p. 52–?.
CHICHI-1995-HarrisonIVB #design #evaluation #user interface
Transparent Layered User Interfaces: An Evaluation of a Display Design to Enhance Focused and Divided Attention (BLH, HI, KJV, WB), pp. 317–324.
SACSAC-1995-FiedlerLDL #using
Optimal initialization of mixed-layer model using the adjoint technique (RF, SL, SKD, JML), pp. 91–95.
PPDPALP-1994-Kesner #reasoning
Reasoning about Layered, Wildcard and Product Patterns (DK), pp. 253–268.
SACSAC-1994-RitschelPG #classification #multi #rating
Rating of pattern classifications in multi-layer perceptrons: theoretical background and practical results (WR, TP, RG), pp. 142–144.
ASPLOSASPLOS-1994-KaramchetiC #question
Software Overhead in Messaging Layers: Where Does the Time Go? (VK, AAC), pp. 51–60.
SEKESEKE-1993-LaiY #classification #grid #multi #reuse
Multi-Layer Repertory Grid Classification for Increasing Software Reusability (STL, CCY), pp. 252–254.
POPLPOPL-1993-Clergerie #framework
Layer Sharing: An Improved Structure-Sharing Framework (EVdlC), pp. 345–358.
SACSAC-1993-TangW #modelling #object-oriented
Object-Oriented Modeling of the Extended Application Layer Structure (AT, WW), pp. 430–436.
ICTSSIWPTS-1993-HennigerSB #generative #protocol #specification #testing
Test Suite Generation for Application Layer Protocols from Formal Specifications in Estelle (OH, BS, SB), pp. 67–85.
DACDAC-1992-FujiiMMY #multi
A Multi-Layer Channel Router with New Style of Over-the-Cell Routing (TF, YM, TM, TY), pp. 585–588.
DACDAC-1992-Ho #modelling
New Models for Four- and Five-Layer Channel Routing (TTH), pp. 589–593.
TOOLSTOOLS-USA-1992-LuijtenL #integration
A Layered-Modelling Tool for the Integration of Computer Applications (BL, BL), pp. 97–109.
HPDCHPDC-1992-BilgicS #performance
High Performance PDU Processing for Application Layer (MB, BS), pp. 161–170.
CAVCAV-1992-PoelZ #development #parallel
Layering Techniques for Development of Parallel Systems (MP, JZ), pp. 16–29.
DACDAC-1991-FangCFC #multi #problem
Constrained via Minimization with Practical Considerations for Multi-Layer VLSI/PCB Routing Problems (SCF, KEC, WSF, SJC), pp. 60–65.
DACDAC-1991-GuruswamyW #multi
A General Multi-Layer Area Router (MG, DFW), pp. 335–340.
DACDAC-1991-Wang #layout #novel
Novel Routing Schemes for IC Layout, Part I: Two-Layer Channel Routing (DCW), pp. 49–53.
CSEETSEI-1991-Bustard #approach #education #project management
A Layered Approach to Teaching Software Project Management (DWB), pp. 181–191.
DACDAC-1990-GidwaniS #named
MISER: An Integrated Three Layer Gridless Channel Router and Compactor (RAG, NAS), pp. 698–703.
DACDAC-1990-KatsadasK #multi
A Multi-Layer Router Utilizing Over-Cell Areas (EK, EK), pp. 704–708.
ICGTGG-1990-Boer90a #sequence
Construction of Map OL-Systems for Developmental Sequences of Plant Cell Layers (MJMdB), pp. 127–143.
DACDAC-1988-Cai #multi
Multi-Pads, Single Layer Power Net Routing in VLSI Circuits (HC), pp. 183–188.
DACDAC-1988-KuoCS #algorithm #performance
Fast Algorithm for Optimal Layer Assignment (YSK, TCC, WKS), pp. 554–559.
DACDAC-1987-Polkl
A Three-Layer Gridless Channel Router with Compaction (DBP), pp. 146–151.
ICLPICLP-1987-ShmueliN87 #horn clause #set #source code
Set Grouping and Layering in Horn Clause Programs (OS, SAN), pp. 152–177.
ICLPSLP-1987-OkumuraM87 #parallel #programming
Parallel Programming with Layered Streams (AO, YM), pp. 224–232.
DACDAC-1986-BraunBDMMRS #multi #named
Chameleon: a new multi-layer channel router (DB, JLB, SD, HKTM, KM, FR, ALSV), pp. 495–502.
DACDAC-1986-EnbodyD
Near-optimal n-layer channel routing (RJE, DHCD), pp. 708–714.
DACDAC-1986-NavedaCD #approach #multi
A new approach to multi-layer PCB routing with short vias (JFN, KCC, DHCD), pp. 696–701.
DACDAC-1985-HanS #algorithm
Layering algorithms for single row routing (SH, SS), pp. 516–522.
DACDAC-1985-HsuTCPT #layout #named #standard
ALPS2: a standard cell layout system for double-layer metal technology (CPH, BNT, KC, RAP, JT), pp. 443–448.
DACDAC-1985-Marek-Sadowska #2d #layout
Two-dimensional router for double layer layout (MMS), pp. 117–123.
DACDAC-1985-SongC #algorithm
An algorithm for one and half layer channel routing (JNS, YKC), pp. 131–136.
DACDAC-1985-ZaraH #automation #database #design
Building a layered database for design automation (RVZ, DRH), pp. 645–651.
DACDAC-1984-Dupenloup #array
A wire routing scheme for double-layer cell arrays (GD), pp. 32–37.
VLDBVLDB-1984-WeikumS #architecture #multi #transaction
Architectural Issues of Transaction Management in Multi-Layered Systems (GW, HJS), pp. 454–465.
POPLPOPL-1984-Ossher #graph #named
Grids: A New Program Structuring Mechanism Based on Layered Graphs (HO), pp. 11–22.
DACDAC-1983-PrazicB #array #automation #using
Automatic routing of double layer gate arrays using a moving cursor (BDP, MAB), pp. 644–650.
DACDAC-1983-Robinson #array #automation #layout
Automatic layout for gate arrays with one layer of metal (PR0), pp. 658–664.
DACDAC-1982-Heyns #algorithm
The 1-2-3 routing algorithm or the single channel 2-step router on 3 interconnection layers (WH), pp. 113–120.
DACDAC-1981-CiesielskiK
An optimum layer assignment for routing in ICs and PCBs (MJC, EK), pp. 733–737.
DACDAC-1981-TsukiyamaKS #multi #on the #problem
On the layering problem of multilayer PWB wiring (ST, ESK, IS), pp. 738–745.
DACDAC-1980-GouldE #array #design #standard
The standard transistor array (STAR): Part I A two-layer metal semicustom design system (JMG, TME), pp. 108–113.
DACDAC-1980-LorenzettiS #implementation #multi
An implementation of a saturated zone multi-layer printed circuit board router (MJL, RJSI), pp. 255–262.
DACDAC-1979-KawamotoK
The minimum width routing of A 2-row 2-layer polycell-layout (TK, YK), pp. 290–296.
ICGTGG-1978-LindenmayerR #generative #parallel
Parallel Generation of Maps: Developmental Systems for Cell Layers (AL, GR), pp. 301–316.
DACDAC-1977-NishiokaKN #automation #layout
A minicomputerized automatic layout system for two-layer printed wiring boards (IN, TK, HN), pp. 1–11.
DACDAC-1973-Rubin
Assigning wires to layers of a printed circuit board (FR), pp. 22–32.
DACDAC-1970-AkersGR #layout
IC mask layout with a single conductor layer (SBA, JMG, DLR), pp. 7–16.
DACDAC-1968-Heiss #algorithm #multi
A path connection algorithm for multi-layer boards (SH).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.