BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
map (136)
use (83)
data (57)
system (54)
test (53)

Stem reduc$ (all stems)

716 papers:

CASECASE-2015-BrundageCALH
Evaluating and reducing production impact on HVAC cooling load (MPB, QC, JA, JL, TH), pp. 212–217.
CASECASE-2015-ButtersGS #detection #network
Detecting and reducing redundancy in alarm networks (TDB, SG, JLS), pp. 1224–1229.
DACDAC-2015-ChenSZDJ #optimisation
Optimizing data placement for reducing shift operations on domain wall memories (XC, EHMS, QZ, PD, WJ), p. 6.
DACDAC-2015-DuraisamyKCLPMM #energy #manycore #performance #pipes and filters
Energy efficient MapReduce with VFI-enabled multicore platforms (KD, RGK, WC, GL, PPP, RM, DM), p. 6.
DATEDATE-2015-ChungSS #identification
Identifying redundant inter-cell margins and its application to reducing routing congestion (WC, SS, YS), pp. 1659–1664.
DATEDATE-2015-GomezPBRBFG #design #energy
Reducing energy consumption in microcontroller-based platforms with low design margin co-processors (AG, CP, AB, DR, LB, HF, JPdG), pp. 269–272.
DATEDATE-2015-LiDC #algorithm #clustering #power of
A scan partitioning algorithm for reducing capture power of delay-fault LBIST (NL, ED, GC), pp. 842–847.
DATEDATE-2015-RahaVRR #approximate #configuration management #energy #performance #quality
Quality configurable reduce-and-rank for energy efficient approximate computing (AR, SV, VR, AR), pp. 665–670.
DATEDATE-2015-SonLKYL #smarttech
A small non-volatile write buffer to reduce storage writes in smartphones (MS, SL, KK, SY, SL), pp. 713–718.
DATEDATE-2015-TchagouTMVSQ #multi #testing
Reducing trace size in multimedia applications endurance tests (SVET, AT, JFM, BV, MS, RQ), pp. 984–985.
DATEDATE-2015-TeysseyreNOCCG #performance #set #simulation #using
Fast optical simulation from a reduced set of impulse responses using SystemC-AMS (FT, DN, IO, FC, FC, OG), pp. 405–409.
SIGMODSIGMOD-2015-OktayMKK #hybrid #named #performance #pipes and filters
SEMROD: Secure and Efficient MapReduce Over HybriD Clouds (KYO, SM, VK, MK), pp. 153–166.
VLDBVLDB-2015-EldawyMJ #pipes and filters #visualisation
A Demonstration of HadoopViz: An Extensible MapReduce System for Visualizing Big Spatial Data (AE, MFM, CJ), pp. 1896–1907.
VLDBVLDB-2015-KimmettST #case study #fuzzy #pipes and filters
Fuzzy Joins in MapReduce: An Experimental Study (BK, VS, AT), pp. 1514–1525.
VLDBVLDB-2015-LaiQLC #pipes and filters #scalability
Scalable Subgraph Enumeration in MapReduce (LL, LQ, XL, LC), pp. 974–985.
VLDBVLDB-2015-LeiZRE #execution #pipes and filters
Shared Execution of Recurring Workloads in MapReduce (CL, ZZ, EAR, MYE), pp. 714–725.
VLDBVLDB-2015-ParkMS #pipes and filters #probability #query #using
Processing of Probabilistic Skyline Queries Using MapReduce (YP, JKM, KS), pp. 1406–1417.
VLDBVLDB-2015-ShiQMJWRO #data analysis #pipes and filters #scalability
Clash of the Titans: MapReduce vs. Spark for Large Scale Data Analytics (JS, YQ, UFM, LJ, CW, BR, ), pp. 2110–2121.
ITiCSEITiCSE-2015-EdwardsMS
Examining Classroom Interventions to Reduce Procrastination (SHE, JM, CAS), pp. 254–259.
TACASTACAS-2015-ChenHSW #commutative #reduction
Commutativity of Reducers (YFC, CDH, NS, BYW), pp. 131–146.
ICALPICALP-v2-2015-BouajjaniEEH #on the #reachability
On Reducing Linearizability to State Reachability (AB, ME, CE, JH), pp. 95–107.
CHICHI-2015-AndersonKJEHV #how #polymorphism
How Polymorphic Warnings Reduce Habituation in the Brain: Insights from an fMRI Study (BBA, CBK, JLJ, DE, SH, AV), pp. 2883–2892.
CHICHI-2015-BentleyCH #coordination #mobile
Reducing the Stress of Coordination: Sharing Travel Time Information Between Contacts on Mobile Phones (FRB, YYC, CH), pp. 967–970.
CHICHI-2015-Ruiz0 #bias #constraints #elicitation #gesture #legacy #performance
Soft-Constraints to Reduce Legacy and Performance Bias to Elicit Whole-body Gestures with Low Arm Fatigue (JR, DV), pp. 3347–3350.
HCIDUXU-IXD-2015-WalterKWAB #adaptation #question #recommendation #what
What Are the Expectations of Users of an Adaptive Recommendation Service Which Aims to Reduce Driver Distraction? (NW, BK, CW, TA, KB), pp. 517–528.
HCIHCI-DE-2015-Teixeira-FariaI #abstraction #complexity #component #interactive #visual notation
Reduce Complexity by Increasing Abstraction in Interactive Visual Components (PMTF, JRI), pp. 426–437.
HCIHIMI-IKC-2015-NakashimaMHOWP #nondeterminism
Kick Extraction for Reducing Uncertainty in RoboCup Logs (TN, SM, JH, OO, PW, MP), pp. 622–633.
ICMLICML-2015-IoffeS #network #normalisation
Batch Normalization: Accelerating Deep Network Training by Reducing Internal Covariate Shift (SI, CS), pp. 448–456.
ICMLICML-2015-WangWS #analysis #clustering
A Deterministic Analysis of Noisy Sparse Subspace Clustering for Dimensionality-reduced Data (YW, YXW, AS), pp. 1422–1431.
KDDKDD-2015-LanH #complexity #learning #multi
Reducing the Unlabeled Sample Complexity of Semi-Supervised Multi-View Learning (CL, JH), pp. 627–634.
MLDMMLDM-2015-FerrerSR #approximate #distance #edit distance #graph #heuristic #learning
Learning Heuristics to Reduce the Overestimation of Bipartite Graph Edit Distance Approximation (MF, FS, KR), pp. 17–31.
MLDMMLDM-2015-SalahAM #mining #optimisation #performance #pipes and filters
Optimizing the Data-Process Relationship for Fast Mining of Frequent Itemsets in MapReduce (SS, RA, FM), pp. 217–231.
SEKESEKE-2015-AdornesGLF #architecture #distributed #domain-specific language #memory management #pipes and filters
A Unified MapReduce Domain-Specific Language for Distributed and Shared Memory Architectures (DA, DG, CL, LGF), pp. 619–624.
SEKESEKE-2015-CostaSRSAP #collaboration #nearest neighbour
A Collaborative Method to Reduce the Running Time and Accelerate the k-Nearest Neighbors Search (AAMC, RRdS, FBAR, GS, HOdA, AP), pp. 105–109.
SIGIRSIGIR-2015-HaraSKF #recommendation
Reducing Hubness: A Cause of Vulnerability in Recommender Systems (KH, IS, KK, KF), pp. 815–818.
SIGIRSIGIR-2015-LipaniLH #anti #bias #precise
Splitting Water: Precision and Anti-Precision to Reduce Pool Bias (AL, ML, AH), pp. 103–112.
SIGIRSIGIR-2015-YunHER #latency #policy #web
Optimal Aggregation Policy for Reducing Tail Latency of Web Search (JMY, YH, SE, SR), pp. 63–72.
OnwardOnward-2015-LiPPK #semantics
Slimming languages by reducing sugar: a case for semantics-altering transformations (JL, JP, JGP, SK), pp. 90–106.
OOPSLAOOPSLA-2015-WangPW
Vectorization of apply to reduce interpretation overhead of R (HW, DAP, PW), pp. 400–415.
SACSAC-2015-BourdilBDV #petri net #symmetry
Symmetry reduced state classes for time petri nets (PAB, BB, SDZ, FV), pp. 1751–1758.
SACSAC-2015-FerrucciSKS #algorithm #framework #parallel #pipes and filters #search-based
A parallel genetic algorithms framework based on Hadoop MapReduce (FF, PS, MTK, FS), pp. 1664–1667.
SACSAC-2015-MestrePN #adaptation #pipes and filters
Adaptive sorted neighborhood blocking for entity matching with MapReduce (DGM, CESP, DCN), pp. 981–987.
SACSAC-2015-RodriguesBM #approach #behaviour #clustering #correlation #energy #using
Using fractal clustering to explore behavioral correlation: a new approach to reduce energy consumption in WSN (FR, AB, JEBM), pp. 589–591.
ESEC-FSEESEC-FSE-2015-HammoudiBBR #debugging #on the #web
On the use of delta debugging to reduce recordings and facilitate debugging of web applications (MH, BB, GB, GR), pp. 333–344.
ICSEICSE-v2-2015-AndersonHVWCBG #student
CS/SE Instructors Can Improve Student Writing without Reducing Class Time Devoted to Technical Content: Experimental Results (PVA, SH, MAV, DW, MC, JEB, GCG), pp. 455–464.
SLESLE-2015-BenelallamGTC #atl #distributed #model transformation #pipes and filters
Distributed model-to-model transformation with ATL on MapReduce (AB, AG, MT, JC), pp. 37–48.
ASPLOSASPLOS-2015-GoiriBNN #approximate #framework #named #pipes and filters
ApproxHadoop: Bringing Approximations to MapReduce Frameworks (IG, RB, SN, TDN), pp. 383–397.
ASPLOSASPLOS-2015-HaqueEHEBM #incremental #interactive #latency #named #parallel
Few-to-Many: Incremental Parallelism for Reducing Tail Latency in Interactive Services (MEH, YHE, YH, SE, RB, KSM), pp. 161–175.
ASPLOSASPLOS-2015-MatveevS #hardware #hybrid #memory management #scalability #transaction
Reduced Hardware NOrec: A Safe and Scalable Hybrid Transactional Memory (AM, NS), pp. 59–71.
HPCAHPCA-2015-DuweJ0 #fault #latency #predict
Correction prediction: Reducing error correction latency for on-chip memories (HD, XJ, RK), pp. 463–475.
HPCAHPCA-2015-IslamMRW #low cost
Paying to save: Reducing cost of colocation data center via rewards (MAI, AHM, SR, XW), pp. 235–245.
HPCAHPCA-2015-NairCRQ #latency #memory management
Reducing read latency of phase change memory via early read and Turbo Read (PJN, CCC, BR, MKQ), pp. 309–319.
HPCAHPCA-2015-SethiaJM #gpu #memory management #named
Mascar: Speeding up GPU warps by reducing memory pitstops (AS, DAJ, SAM), pp. 174–185.
HPDCHPDC-2015-SabneSE #clustering #named #pipes and filters #programming
HeteroDoop: A MapReduce Programming System for Accelerator Clusters (AS, PS, RE), pp. 235–246.
ISMMISMM-2015-CutlerM #clustering
Reducing pause times with clustered collection (CC, RM), pp. 131–142.
PPoPPPPoPP-2015-DasSR #communication #concurrent #detection #program analysis #thread
Section based program analysis to reduce overhead of detecting unsynchronized thread communication (MD, GS, JR), pp. 283–284.
PPoPPPPoPP-2015-HarshvardhanAR #algorithm #approach #communication #graph #parallel
A hierarchical approach to reducing communication in parallel graph algorithms (H, NMA, LR), pp. 285–286.
CADECADE-2015-IborraNVY #dependence #problem #termination
Reducing Relative Termination to Dependency Pair Problems (JI, NN, GV, AY), pp. 163–178.
ASEASE-2014-ParkKB #empirical #fault
An empirical study on reducing omission errors in practice (JP, MK, DHB), pp. 121–126.
CASECASE-2014-BrundageCLAX #energy
Utilizing energy opportunity windows and energy profit bottlenecks to reduce energy consumption per part for a serial production line (MPB, QC, YL, JA, GX), pp. 461–466.
CASECASE-2014-MingMT #optimisation
Reducing carbon emission of ocean shipments by optimizing container size selection (ECLM, NLM, KWT), pp. 480–485.
DACDAC-2014-HameedBH #architecture #latency #novel
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture (FH, LB, JH), p. 6.
DACDAC-2014-SchaffnerGSKB #approximate #complexity #linear #realtime #video
An Approximate Computing Technique for Reducing the Complexity of a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing (MS, FKG, AS, HK, LB), p. 6.
DATEDATE-2014-BardizbanyanSWL #data flow #dependence #detection #energy
Reducing set-associative L1 data cache energy by early load data dependence detection (ELD3) (AB, MS, DBW, PLE), pp. 1–4.
DATEDATE-2014-JaksicC #energy #how #protocol
DRAM-based coherent caches and how to take advantage of the coherence protocol to reduce the refresh energy (ZJ, RC), pp. 1–4.
SIGMODSIGMOD-2014-AlarabiEAM #named #web #web service
TAREEG: a MapReduce-based web service for extracting spatial data from OpenStreetMap (LA, AE, RA, MFM), pp. 897–900.
SIGMODSIGMOD-2014-OkcanR #pipes and filters
Anti-combining for MapReduce (AO, MR), pp. 839–850.
SIGMODSIGMOD-2014-QinYCCZL #graph #pipes and filters #scalability
Scalable big graph processing in MapReduce (LQ, JXY, LC, HC, CZ, XL), pp. 827–838.
VLDBVLDB-2014-BoykinROL #framework #named #online #pipes and filters
Summingbird: A Framework for Integrating Batch and Online MapReduce Computations (POB, SR, IO, JL), pp. 1441–1451.
VLDBVLDB-2014-Lu0OVW #named #pipes and filters #scalability
ScalaGiST: Scalable Generalized Search Trees for MapReduce Systems [Innovative Systems Paper] (PL, GC, BCO, HTV, SW), pp. 1797–1808.
VLDBVLDB-2014-SadoghiCBNR #concurrent #database #multi
Reducing Database Locking Contention Through Multi-version Concurrency (MS, MC, BB, FN, KAR), pp. 1331–1342.
VLDBVLDB-2014-SarmaHC #clustering #framework #named #similarity #using
ClusterJoin: A Similarity Joins Framework using Map-Reduce (ADS, YH, SC), pp. 1059–1070.
VLDBVLDB-2014-ShiZLCLW #named #optimisation #pipes and filters #tool support
MRTuner: A Toolkit to Enable Holistic Optimization for MapReduce Jobs (JS, JZ, JL, ZC, SL, CW), pp. 1319–1330.
VLDBVLDB-2014-VemuriVPL #execution #scalability
Execution Primitives for Scalable Joins and Aggregations in Map Reduce (SV, MV, KP, RL), pp. 1462–1473.
VLDBVLDB-2015-InoueOT14 #branch #performance #predict #set
Faster Set Intersection with SIMD instructions by Reducing Branch Mispredictions (HI, MO, KT), pp. 293–304.
CIAACIAA-2014-KoH #nondeterminism
Left is Better than Right for Reducing Nondeterminism of NFAs (SKK, YSH), pp. 238–251.
LATALATA-2014-BreveglieriCM #network #parsing
Shift-Reduce Parsers for Transition Networks (LB, SCR, AM), pp. 222–235.
LATALATA-2014-Prusa #complexity
Weight-Reducing Hennie Machines and Their Descriptional Complexity (DP), pp. 553–564.
SEFMSEFM-2014-BianculliGK #logic #metric #pipes and filters #using
Trace Checking of Metric Temporal Logic with Aggregating Modalities Using MapReduce (DB, CG, SK), pp. 144–158.
CHICHI-2014-KihmGKM #interactive #power management #symmetry #using
Using asymmetric cores to reduce power consumption for interactive devices with bi-stable displays (JK, FG, JK, RM), pp. 1059–1062.
CHICHI-2014-LauberB
In-your-face, yet unseen?: improving head-stabilized warnings to reduce reaction time (FL, AB), pp. 3201–3204.
HCIDUXU-DP-2014-HamachiTN #integration #process #quality
Activities to Improve System Integration and Service Quality and Add Additional Values — Reducing the Cost in Applying Human-Centered-Design Process (RH, IT, HN), pp. 32–38.
HCIHCI-AS-2014-FedericiMRDLBM
A Model of Web-Based Follow-Up to Reduce Assistive Technology Abandonment (SF, MLM, SAR, WD, GL, SB, FM), pp. 674–682.
HCILCT-TRE-2014-Jebali #question
Does CMC Reduce Foreign Language Classroom Anxiety? (AJ), pp. 277–287.
HCISCSM-2014-PensasVGKKCV #communication #network #social #using
Using Ambient Communication and Social Networking Technologies to Reduce Loneliness of Elders (HP, AMV, MG, TK, SK, SC, JV), pp. 91–102.
ICEISICEIS-v1-2014-SilvaNMFMM #algorithm #detection #distributed #performance #pipes and filters #using
Efficient and Distributed DBScan Algorithm Using MapReduce to Detect Density Areas on Traffic Data (TLCdS, ACAN, RPM, VAEdF, JAFdM, JCM), pp. 52–59.
CIKMCIKM-2014-ParkSKP #pipes and filters
MapReduce Triangle Enumeration With Guarantees (HMP, FS, UK, RP), pp. 1739–1748.
ECIRECIR-2014-GaoWO #comparison #using
Reducing Reliance on Relevance Judgments for System Comparison by Using Expectation-Maximization (NG, WW, DWO), pp. 1–12.
ICMLICML-c2-2014-AilonKJ
Reducing Dueling Bandits to Cardinal Bandits (NA, ZSK, TJ), pp. 856–864.
ICPRICPR-2014-HoreY #image
A New Filter for Reducing HALO Artifacts in Tone Mapped Images (AH, OYP), pp. 889–894.
KDDKDD-2014-ChierichettiDK #clustering #correlation #pipes and filters
Correlation clustering in MapReduce (FC, NND, RK), pp. 641–650.
KDDKDD-2014-LiARS #complexity #modelling #topic
Reducing the sampling complexity of topic models (AQL, AA, SR, AJS), pp. 891–900.
KDDKDD-2014-ShakarianSPB #network #social #source code
Reducing gang violence through network influence based targeting of social programs (PS, JS, WP, JB), pp. 1829–1836.
KDIRKDIR-2014-OliveiraBSC #automation #classification #clustering #twitter
Combining Clustering and Classification Approaches for Reducing the Effort of Automatic Tweets Classification (EO, HGB, MRS, PMC), pp. 465–472.
SEKESEKE-2014-WangGZLLYY #testing
Reducing Test Cases with Causality Partitions (HW, XG, QZ, TL, XL, LY, ZY), pp. 223–228.
OOPSLAOOPSLA-2014-RadoiFRS #imperative #pipes and filters
Translating imperative code to MapReduce (CR, SJF, RMR, MS), pp. 909–927.
SACSAC-2014-CorralGSS #android #energy #implementation
Method reallocation to reduce energy consumption: an implementation in Android OS (LC, ABG, AS, GS), pp. 1213–1218.
SACSAC-2014-EvermannA #algorithm #big data #implementation #mining #process
Big data meets process mining: implementing the alpha algorithm with map-reduce (JE, GA), pp. 1414–1416.
FSEFSE-2014-BaysalHG #information management #issue tracking
No issue left behind: reducing information overload in issue tracking (OB, RH, MWG), pp. 666–677.
ASPLOSASPLOS-2014-LitzCFAS #memory management #named #transaction
SI-TM: reducing transactional memory abort rates through snapshot isolation (HL, DRC, AF, OA, JPS), pp. 383–398.
ASPLOSASPLOS-2014-SchulteDHFW #energy #optimisation
Post-compiler software optimization for reducing energy (EMS, JD, SH, SF, WW), pp. 639–652.
HPCAHPCA-2014-AgrawalAT #energy #locality #named #process
Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules (AA, AA, JT), pp. 84–95.
HPCAHPCA-2014-KannanGS #cost analysis #persistent
Reducing the cost of persistence for nonvolatile heaps in end user devices (SK, AG, KS), pp. 512–523.
HPCAHPCA-2014-ZhengMW #energy
Exploiting thermal energy storage to reduce data center capital and operating expenses (WZ, KM, XW), pp. 132–141.
HPDCHPDC-2014-LiZMTZBF #named #online #performance #pipes and filters
MRONLINE: MapReduce online performance tuning (ML, LZ, SM, JT, LZ, ARB, NF), pp. 165–176.
HPDCHPDC-2014-MuCWZ #network #replication #state machine
When paxos meets erasure code: reduce network and storage cost in state machine replication (SM, KC, YW, WZ), pp. 61–72.
HPDCHPDC-2014-XiangMA #matrix #pipes and filters #scalability #using
Scalable matrix inversion using MapReduce (JX, HM, AA), pp. 177–190.
ISSTAISSTA-2014-ArltPW #slicing #testing #user interface
Reducing GUI test suites via program slicing (SA, AP, MW), pp. 270–281.
ICSTSAT-2014-IgnatievMM #independence #on the #satisfiability #set
On Reducing Maximum Independent Set to Minimum Satisfiability (AI, AM, JMS), pp. 103–120.
DATEDATE-2013-AnanthanarayananGP #detection #fault #low cost #set #using
Low cost permanent fault detection using ultra-reduced instruction set co-processors (SA, SG, HDP), pp. 933–938.
DATEDATE-2013-HuYH0 #concurrent #low cost #multi #named #thread
Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications (XH, GY, YH, XL), pp. 208–213.
DATEDATE-2013-MartinB #configuration management #integration
Configurable I/O integration to reduce system-on-chip time to market: DDR, PCIe examples (FM, PB), p. 169.
DATEDATE-2013-Rodriguez-RodriguezCCPT #memory management #performance #policy #using
Reducing writes in phase-change memory environments by using efficient cache replacement policies (RRR, FC, DC, LP, FT), pp. 93–96.
SIGMODSIGMOD-2013-TaoLX #algorithm #pipes and filters
Minimal MapReduce algorithms (YT, WL, XX), pp. 529–540.
VLDBVLDB-2013-AfratiSSU #bound #cost analysis
Upper and Lower Bounds on the Cost of a Map-Reduce Computation (FNA, ADS, SS, JDU), pp. 277–288.
VLDBVLDB-2013-AjiWVLL0S #named #performance #pipes and filters
Hadoop-GIS: A High Performance Spatial Data Warehousing System over MapReduce (AA, FW, HV, RL, QL, XZ, JHS), pp. 1009–1020.
VLDBVLDB-2013-EldawyM #framework #performance #pipes and filters
A Demonstration of SpatialHadoop: An Efficient MapReduce Framework for Spatial Data (AE, MFM), pp. 1230–1233.
VLDBVLDB-2013-ParkMS #parallel #pipes and filters #query #using
Parallel Computation of Skyline and Reverse Skyline Queries Using MapReduce (YP, JKM, KS), pp. 2002–2013.
VLDBVLDB-2013-ZhangCJC #crowdsourcing #nondeterminism
Reducing Uncertainty of Schema Matching via Crowdsourcing (CJZ, LC, HVJ, CCC), pp. 757–768.
VLDBVLDB-2014-OnizukaKHNH13 #optimisation #pipes and filters #query
Optimization for iterative queries on MapReduce (MO, HK, SH, KN, ZH), pp. 241–252.
VLDBVLDB-2014-WangC13 #framework #multi #optimisation #pipes and filters
Multi-Query Optimization in MapReduce Framework (GW, CYC), pp. 145–156.
ITiCSEITiCSE-2013-EganM #c #fault #runtime
Reducing novice C programmers’ frustration through improved runtime error checking (MHE, CM), p. 322.
ICSMEICSM-2013-KwonT #energy #mobile
Reducing the Energy Consumption of Mobile Applications Behind the Scenes (YWK, ET), pp. 170–179.
ICSMEICSM-2013-OyetoyanCC #component #question #refactoring
Can Refactoring Cyclic Dependent Components Reduce Defect-Proneness? (TDO, DSC, RC), pp. 420–423.
WCREWCRE-2013-FryW #clustering #fault #maintenance #static analysis
Clustering static analysis defect reports to reduce maintenance costs (ZPF, WW), pp. 282–291.
STOCSTOC-2013-NelsonN #bound
Sparsity lower bounds for dimensionality reducing maps (JN, HLN), pp. 101–110.
CHICHI-2013-BrownMR #interactive #multi #named #network
MultiNet: reducing interaction overhead in domestic wireless networks (AB, RM, TR), pp. 1569–1578.
CHICHI-2013-OfekIS
Reducing disruption from subtle information delivery during a conversation: mode and bandwidth investigation (EO, STI, KS), pp. 3111–3120.
HCIDHM-HB-2013-Baker #bibliography #effectiveness #perspective
The Effectiveness of Alternative Keyboards at Reducing Musculoskeletal Symptoms at Work: A Review (NB), pp. 189–195.
HCIHCI-AS-2013-BergmansS #behaviour #mobile #persuasion #using
Reducing Speeding Behavior in Young Drivers Using a Persuasive Mobile Application (AB, SS), pp. 541–550.
HCIHCI-AS-2013-TogawaK #framework
Private Cloud Cooperation Framework for Reducing the Earthquake Damage on e-Learning Environment (ST, KK), pp. 503–510.
ICEISICEIS-v2-2013-MarynowskiPWM #dependence #pipes and filters #testing
Dependability Testing of MapReduce Systems (JEM, ARP, TSW, AJM), pp. 165–172.
CIKMCIKM-2013-ParkC #algorithm #graph #performance #pipes and filters #scalability
An efficient MapReduce algorithm for counting triangles in a very large graph (HMP, CWC), pp. 539–548.
ECIRECIR-2013-MarkovAC #nondeterminism
Reducing the Uncertainty in Resource Selection (IM, LA, FC), pp. 507–519.
ICMLICML-c3-2013-MengM #pipes and filters #robust
Robust Regression on MapReduce (XM, MWM), pp. 888–896.
KDDKDD-2013-Ramakrishnan
Scale-out beyond map-reduce (RR), p. 1.
MLDMMLDM-2013-EichelbergerS #classification #empirical #multi
An Empirical Study of Reducing Multiclass Classification Methodologies (RKE, VSS), pp. 505–519.
ECOOPECOOP-2013-ThomsenCADE #invariant
Reducing Lookups for Invariant Checking (JGT, CC, KJA, JD, EE), pp. 426–450.
PPDPPPDP-2013-Corte-RealDR #parallel #programming #prolog
Prolog programming with a map-reduce parallel construct (JCR, IdCD, RR), pp. 285–296.
PPDPPPDP-2013-Garcia-PerezNM #order #semantics
Deriving the full-reducing Krivine machine from the small-step operational semantics of normal order (ÁGP, PN, JJMN), pp. 85–96.
SACSAC-2013-BurtiniFL #adaptation #data transfer #web
Reducing data transfer for charts on adaptive web sites (GB, SF, RL), pp. 865–867.
SACSAC-2013-PlegasS #information management
Reducing information redundancy in search results (YP, SS), pp. 886–893.
ESEC-FSEESEC-FSE-2013-KimMKBSBd #combinator #configuration management #dynamic analysis #lightweight #named #testing
SPLat: lightweight dynamic analysis for reducing combinatorics in testing configurable systems (CHPK, DM, SK, DSB, SS, PB, Md), pp. 257–267.
ICSEICSE-2013-Balachandran #automation #code review #quality #recommendation #static analysis #using
Reducing human effort and improving quality in peer code reviews using automatic static analysis and reviewer recommendation (VB), pp. 931–940.
ASPLOSASPLOS-2013-ParkBCLN #harmful #manycore #memory management
Regularities considered harmful: forcing randomness to memory accesses to reduce row buffer conflicts for multi-core, multi-bank systems (HP, SB, JC, DL, SHN), pp. 181–192.
HPCAHPCA-2013-DasAMKA #manycore #memory management #policy
Application-to-core mapping policies to reduce memory system interference in multi-core systems (RD, RA, OM, AK, MA), pp. 107–118.
HPCAHPCA-2013-LustigM #cpu #fine-grained #gpu #latency
Reducing GPU offload latency via fine-grained CPU-GPU synchronization (DL, MM), pp. 354–365.
HPCAHPCA-2013-WangDXJ #named
i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations (JW, XD, YX, NPJ), pp. 234–245.
HPDCHPDC-2013-BuRX #clustering #pipes and filters #scheduling
Interference and locality-aware task scheduling for MapReduce applications in virtual clusters (XB, JR, CZX), pp. 227–238.
HPDCHPDC-2013-YinLBGN #dataset #order #performance #pipes and filters #using
Efficient analytics on ordered datasets using MapReduce (JY, YL, MB, LG, AN), pp. 125–126.
ISMMISMM-2013-MorikawaUI #adaptation #garbage collection #lisp
Adaptive scanning reduces sweep time for the Lisp2 mark-compact garbage collector (KM, TU, HI), pp. 15–26.
PPoPPPPoPP-2013-ShunBFG
Reducing contention through priority updates (JS, GEB, JTF, PBG), pp. 299–300.
ICSTICST-2013-AfshanMS #evolution #natural language #string #testing #using
Evolving Readable String Test Inputs Using a Natural Language Model to Reduce Human Oracle Cost (SA, PM, MS), pp. 352–361.
VMCAIVMCAI-2013-ToubhansCR #abstract domain
Reduced Product Combination of Abstract Domains for Shapes (AT, BYEC, XR), pp. 375–395.
ASEASE-2012-BartelKTM #android #automation
Automatically securing permission-based software by reducing the attack surface: an application to Android (AB, JK, YLT, MM), pp. 274–277.
CASECASE-2012-Rodriguez-VerjanTPDT
Dispatching of lots to dynamically reduce the wafers at risk in semiconductor manufacturing (GRV, ET, JP, SDP, AT), pp. 920–923.
CASECASE-2012-YiLTW #framework #scheduling
A new operational framework to job shop scheduling for reducing carbon emissions (QY, CL, YT, QW), pp. 58–63.
DACDAC-2012-RajendiranAPTG #reliability #set
Reliable computing with ultra-reduced instruction set co-processors (AR, SA, HDP, MVT, SG), pp. 697–702.
DATEDATE-2012-DasSHMC #multi
Dynamic Directories: A mechanism for reducing on-chip interconnect power in multicores (AD, MS, NH, GM, ANC), pp. 479–484.
DATEDATE-2012-HankendiC #energy #parallel #performance
Reducing the energy cost of computing through efficient co-scheduling of parallel workloads (CH, AKC), pp. 994–999.
DATEDATE-2012-LiuWWQS #embedded #memory management #process
A block-level flash memory management scheme for reducing write activities in PCM-based embedded systems (DL, TW, YW, ZQ, ZS), pp. 1447–1450.
DATEDATE-2012-TabkhiS #approach #power management
Application-specific power-efficient approach for reducing register file vulnerability (HT, GS), pp. 574–577.
SIGMODSIGMOD-2012-ElghandourA #named #pipes and filters #reuse
ReStore: reusing results of MapReduce jobs in pig (IE, AA), pp. 701–704.
SIGMODSIGMOD-2012-OhKKL #sorting
Reducing cache misses in hash join probing phase by pre-sorting strategy (abstract only) (GHO, JMK, WHK, SWL), p. 864.
SIGMODSIGMOD-2012-SilvaR #similarity
Exploiting MapReduce-based similarity joins (YNS, JMR), pp. 693–696.
VLDBVLDB-2012-BahmaniKV #pipes and filters #streaming
Densest Subgraph in Streaming and MapReduce (BB, RK, SV), pp. 454–465.
VLDBVLDB-2012-ChenAK #big data #interactive #pipes and filters
Interactive Analytical Processing in Big Data Systems: A Cross-Industry Study of MapReduce Workloads (YC, SA, RHK), pp. 1802–1813.
VLDBVLDB-2012-DittrichQ #big data #performance #pipes and filters
Efficient Big Data Processing in Hadoop MapReduce (JD, JAQR), pp. 2014–2015.
VLDBVLDB-2012-ElghandourA #named #pipes and filters #reuse
ReStore: Reusing Results of MapReduce Jobs (IE, AA), pp. 586–597.
VLDBVLDB-2012-KhoussainovaBS #debugging #named #performance #pipes and filters
PerfXplain: Debugging MapReduce Job Performance (NK, MB, DS), pp. 598–609.
VLDBVLDB-2012-KwonBHR #pipes and filters
SkewTune in Action: Mitigating Skew in MapReduce Applications (YK, MB, BH, JAR), pp. 1934–1937.
VLDBVLDB-2012-LamLPRVD #named #performance
Muppet: MapReduce-Style Processing of Fast Data (WL, LL, SP, AR, ZV, AD), pp. 1814–1825.
VLDBVLDB-2012-LaptevZZ #pipes and filters
Early Accurate Results for Advanced Analytics on MapReduce (NL, KZ, CZ), pp. 1028–1039.
VLDBVLDB-2012-LimHB #named #pipes and filters #workflow
Stubby: A Transformation-based Optimizer for MapReduce Workflows (HL, HH, SB), pp. 1196–1207.
VLDBVLDB-2012-LiuTP
MapReduce-based Dimensional ETL Made Easy (XL, CT, TBP), pp. 1882–1885.
VLDBVLDB-2012-LuSCO #nearest neighbour #performance #pipes and filters #using
Efficient Processing of k Nearest Neighbor Joins using MapReduce (WL, YS, SC, BCO), pp. 1016–1027.
VLDBVLDB-2012-MetwallyF #framework #multi #named #pipes and filters #scalability #similarity
V-SMART-Join: A Scalable MapReduce Framework for All-Pair Similarity Joins of Multisets and Vectors (AM, CF), pp. 704–715.
VLDBVLDB-2012-Shim #algorithm #big data #data analysis #pipes and filters
MapReduce Algorithms for Big Data Analysis (KS), pp. 2016–2017.
VLDBVLDB-2012-XuTW #database #energy #named #optimisation #query
PET: Reducing Database Energy Cost via Query Optimization (ZX, YCT, XW), pp. 1954–1957.
VLDBVLDB-2012-ZhangCW #multi #performance #pipes and filters #using
Efficient Multi-way Theta-Join Processing Using MapReduce (XZ, LC, MW), pp. 1184–1195.
ITiCSEITiCSE-2012-ShmalloRG #fuzzy #object-oriented
Fuzzy OOP: expanded and reduced term interpretations (RS, NR, DG), pp. 309–314.
ESOPESOP-2012-EmotoFH #framework #parallel #pipes and filters #programming
Generate, Test, and Aggregate — A Calculation-based Framework for Systematic Parallel Programming with MapReduce (KE, SF, ZH), pp. 254–273.
ICPCICPC-2012-SajnaniOL #clone detection #detection #parallel #pipes and filters #using
Parallel code clone detection using MapReduce (HS, JO, CVL), pp. 261–262.
ICSMEICSM-2012-TomasiMFS #named #process
reBPMN: Recovering and reducing business processes (AT, AM, CDF, AS), pp. 666–669.
ICALPICALP-v1-2012-VerbinZ #distance #named #sketching
Rademacher-Sketch: A Dimensionality-Reducing Embedding for Sum-Product Norms, with an Application to Earth-Mover Distance (EV, QZ), pp. 834–845.
CHICHI-2012-AlankusK #game studies #video
Reducing compensatory motions in video games for stroke rehabilitation (GA, CK), pp. 2049–2058.
CHICHI-2012-ButlerIHMHK
Shake’n’sense: reducing interference for overlapping structured light depth cameras (AB, SI, OH, DM, SH, DK), pp. 1933–1936.
CHICHI-2012-MatejkaGF #latency #named #online #video
Swift: reducing the effects of latency in online video scrubbing (JM, TG, GWF), pp. 637–646.
CIKMCIKM-2012-GeorgescuPFNG #crowdsourcing #fault #library
Map to humans and reduce error: crowdsourcing for deduplication applied to digital libraries (MG, DDP, CSF, WN, JG), pp. 1970–1974.
CIKMCIKM-2012-RiondatoDFU #algorithm #approximate #mining #named #parallel #pipes and filters #random
PARMA: a parallel randomized algorithm for approximate association rules mining in MapReduce (MR, JAD, RF, EU), pp. 85–94.
ICPRICPR-2012-DrifiH #estimation #modelling
Coupling reduced models for optimal motion estimation (KD, IH), pp. 2651–2654.
ICPRICPR-2012-ZhengZ #kernel #recognition #speech
Speech emotion recognition based on kernel reduced-rank regression (WZ, XZ), pp. 1972–1976.
KDDKDD-2012-Douglas #modelling #multi #predict
Leveraging predictive modeling to reduce signal theft in a multi-service organization environment (SD), p. 1004.
MLDMMLDM-2012-ForczmanskiF #classification #distance #representation
Classification of Elementary Stamp Shapes by Means of Reduced Point Distance Histogram Representation (PF, DF), pp. 603–616.
RecSysRecSys-2012-SchelterBM #pipes and filters #scalability #similarity
Scalable similarity-based neighborhood methods with MapReduce (SS, CB, VM), pp. 163–170.
RecSysRecSys-2012-ZelenikB #information management #recommendation
Reducing the sparsity of contextual information for recommender systems (DZ, MB), pp. 341–344.
OOPSLAOOPSLA-2012-SchillerE #specification
Reducing the barriers to writing verified specifications (TWS, MDE), pp. 95–112.
SACSAC-2012-HuangZGWCW #clustering
Reducing last level cache pollution through OS-level software-controlled region-based partitioning (TH, QZ, XG, XW, XC, KW), pp. 1779–1784.
SACSAC-2012-MoretBATMF #validation
Double dip map-reduce for processing cross validation jobs (DM, KB, EA, JT, RM, JVF), pp. 473–477.
SACSAC-2012-RahmanOMCA #development #mobile
Let EcoDrive be your guide: development of a mobile tool to reduce carbon footprint and promote green transport (FR, CO, KM, JC, SIA), pp. 519–524.
SACSAC-2012-ZhongGHCW #memory management
Affinity-aware DMA buffer management for reducing off-chip memory access (QZ, XG, TH, XC, KW), pp. 1588–1593.
FSEFSE-2012-VisserGD #constraints #named #program analysis #reuse
Green: reducing, reusing and recycling constraints in program analysis (WV, JG, MBD), p. 58.
ICSEICSE-2012-GoreR #bias #debugging #metric #statistics
Reducing confounding bias in predicate-level statistical debugging metrics (RG, PFRJ), pp. 463–473.
ASPLOSASPLOS-2012-AhmadCRV #clustering #named #optimisation #pipes and filters
Tarazu: optimizing MapReduce on heterogeneous clusters (FA, STC, AR, TNV), pp. 61–74.
CGOCGO-2012-LiuRCK #named #optimisation #pipes and filters #towards
Panacea: towards holistic optimization of MapReduce applications (JL, NR, STC, MTK), pp. 33–43.
HPDCHPDC-2012-ChenA #effectiveness #memory management #optimisation #pipes and filters
Optimizing MapReduce for GPUs with effective shared memory usage (LC, GA), pp. 199–210.
HPDCHPDC-2012-LiSBKS #in the cloud #named #pipes and filters
CAM: a topology aware minimum cost flow based resource manager for MapReduce applications in the cloud (ML, DS, ARB, AK, PS), pp. 211–222.
HPDCHPDC-2012-ParkLKHM #configuration management #pipes and filters #virtual machine
Locality-aware dynamic VM reconfiguration on MapReduce clouds (JP, DL, BK, JH, SM), pp. 27–36.
HPDCHPDC-2012-TanMZ #pipes and filters
Coupling scheduler for MapReduce/Hadoop (JT, XM, LZ), pp. 129–130.
ICSTICST-2012-GeronimoFMS #algorithm #automation #generative #parallel #pipes and filters #search-based #testing
A Parallel Genetic Algorithm Based on Hadoop MapReduce for the Automatic Generation of JUnit Test Suites (LDG, FF, AM, FS), pp. 785–793.
ICSTICST-2012-Kapfhammer #database #testing #towards
Towards a Method for Reducing the Test Suites of Database Applications (GMK), pp. 964–965.
RTARTA-2012-CousineauH #proving #semantics
A Semantic Proof that Reducibility Candidates entail Cut Elimination (DC, OH), pp. 133–148.
TAPTAP-2012-BengoleaAMF #bound #test coverage #testing #using
Using Coverage Criteria on RepOK to Reduce Bounded-Exhaustive Test Suites (VSB, NA, DM, MFF), pp. 19–34.
ECSAECSA-2011-TofanGA #architecture #grid
Reducing Architectural Knowledge Vaporization by Applying the Repertory Grid Technique (DT, MG, PA), pp. 244–251.
CASECASE-2011-HajiD #case study #simulation #using
A simulation case study: Reducing outpatient waiting time of otolaryngology care services using VBA (MH, HD), pp. 525–530.
DACDAC-2011-KimKY #named #network #power management
FlexiBuffer: reducing leakage power in on-chip network routers (GK, JK, SY), pp. 936–941.
DATEDATE-2011-CalimeraLMP #architecture
Partitioned cache architectures for reduced NBTI-induced aging (AC, ML, EM, MP), pp. 938–943.
DATEDATE-2011-GhermanMECB #concurrent #fault #predict #self
Error prediction based on concurrent self-test and reduced slack time (VG, JM, SE, SC, YB), pp. 1626–1631.
DATEDATE-2011-KumarRPB #3d #clustering #testing
Hyper-graph based partitioning to reduce DFT cost for pre-bond 3D-IC testing (AK, SMR, IP, BB), pp. 1424–1429.
DATEDATE-2011-MeyerGCLS #cost analysis #execution #safety #using
Reducing the cost of redundant execution in safety-critical systems using relaxed dedication (BHM, NJG, BHC, JL, KS), pp. 1249–1254.
DATEDATE-2011-ReddyCBJ #complexity #power management
A low complexity stopping criterion for reducing power consumption in turbo decoders (PR, FC, AB, MJ), pp. 649–654.
ICDARICDAR-2011-CoustatyBBL #algorithm #image #ontology #semantic gap #using
Using Ontologies to Reduce the Semantic Gap between Historians and Image Processing Algorithms (MC, AB, KB, GL), pp. 156–160.
SIGMODSIGMOD-2011-BahmaniCX #performance #personalisation #pipes and filters #rank
Fast personalized PageRank on MapReduce (BB, KC, DX), pp. 973–984.
SIGMODSIGMOD-2011-LiMDMS #framework #pipes and filters #scalability #using
A platform for scalable one-pass analytics using MapReduce (BL, EM, YD, AM, PJS), pp. 985–996.
SIGMODSIGMOD-2011-LinACOW #framework #named #pipes and filters #scalability
Llama: leveraging columnar storage for scalable join processing in the MapReduce framework (YL, DA, CC, BCO, SW), pp. 961–972.
SIGMODSIGMOD-2011-OkcanR #pipes and filters #using
Processing theta-joins using MapReduce (AO, MR), pp. 949–960.
SIGMODSIGMOD-2011-XiaoBHG #difference #fault #named #privacy
iReduct: differential privacy with reduced relative errors (XX, GB, MH, JG), pp. 229–240.
VLDBVLDB-2011-ChattopadhyayLLMALKW #framework #implementation #pipes and filters #sql
Tenzing A SQL Implementation On The MapReduce Framework (BC, LL, WL, SM, PA, VL, YK, MW), pp. 1318–1327.
VLDBVLDB-2011-FloratouPST #pipes and filters
Column-Oriented Storage Techniques for MapReduce (AF, JMP, EJS, ST), pp. 419–429.
VLDBVLDB-2011-HerodotouB #cost analysis #optimisation #pipes and filters #profiling #source code
Profiling, What-if Analysis, and Cost-based Optimization of MapReduce Programs (HH, SB), pp. 1111–1122.
VLDBVLDB-2011-HerodotouDB #cost analysis #optimisation #pipes and filters #programming
MapReduce Programming and Cost-based Optimization? Crossing this Chasm with Starfish (HH, FD, SB), pp. 1446–1449.
VLDBVLDB-2011-JahaniCR #automation #optimisation #pipes and filters #source code
Automatic Optimization for MapReduce Programs (EJ, MJC, CR), pp. 385–396.
VLDBVLDB-2011-KimRA #algebra #pipes and filters #using
From SPARQL to MapReduce: The Journey Using a Nested TripleGroup Algebra (HK, PR, KA), pp. 1426–1429.
VLDBVLDB-2011-MoralesGS #pipes and filters #social
Social Content Matching in MapReduce (GDFM, AG, MS), pp. 460–469.
VLDBVLDB-2011-PansareBJC #online #pipes and filters #scalability
Online Aggregation for Large MapReduce Jobs (NP, VRB, CJ, TC), pp. 1135–1145.
VLDBVLDB-2011-ParkIW #named #pipes and filters #workflow
RAMP: A System for Capturing and Tracing Provenance in MapReduce Workflows (HP, RI, JW), pp. 1351–1354.
VLDBVLDB-2012-JestesYL11 #pipes and filters #scalability
Building Wavelet Histograms on Large Data in MapReduce (JJ, KY, FL), pp. 109–120.
ITiCSEITiCSE-2011-MselleM #concept #education #memory management #programming
The impact of memory transfer language (MTL) in reducing misconceptions in teaching programming to novices (LJM, RM), p. 388.
FoSSaCSFoSSaCS-2011-CousotCM #abstract domain
The Reduced Product of Abstract Domains and the Combination of Decision Procedures (PC, RC, LM), pp. 456–472.
CSMRCSMR-2011-SchuurJB #empirical #evaluation #maintenance
Reducing Maintenance Effort through Software Operation Knowledge: An Eclectic Empirical Evaluation (HvdS, SJ, SB), pp. 201–210.
ICSMEICSM-2011-MirakhorliC #architecture #information management #maintenance #modelling #traceability #using
Using tactic traceability information models to reduce the risk of architectural degradation during system maintenance (MM, JCH), pp. 123–132.
PLDIPLDI-2011-LeeZSZ #generative #towards
Toward generating reducible replay logs (KHL, YZ, NS, XZ), pp. 246–257.
SEFMSEFM-2011-OnoHTNH #coq #pipes and filters #specification #using
Using Coq in Specification and Program Extraction of Hadoop MapReduce Applications (KO, YH, YT, NN, MH), pp. 350–365.
CHICHI-2011-DoringKMPSGS #gesture #interactive #visual notation
Gestural interaction on the steering wheel: reducing the visual demand (TD, DK, PM, MP, JS, VG, AS), pp. 483–492.
HCIDUXU-v2-2011-BolchiniF #experience #mobile #prototype
The Fusing of “Paper-in-Screen”: Reducing Mobile Prototyping Artificiality to Increase Emotional Experience (DB, AF), pp. 548–556.
HCIDUXU-v2-2011-WynnS #data analysis #low cost #video
Motivating Change and Reducing Cost with the Discount Video Data Analysis Technique (JW, JDS), pp. 321–328.
HCIHCI-DDA-2011-ZimmermanKPBLJ #how #performance #quote
“How Do I Line Up?”: Reducing Mental Transformations to Improve Performance (GWZ, DSK, GMP, JB, LML, SDJ), pp. 432–440.
HCIHCI-UA-2011-FolmerPV #reduction
An Analytical Alarm Flood Reduction to Reduce Operator’s Workload (JF, DP, BVH), pp. 297–306.
HCIHIMI-v2-2011-MurataHS #feedback #video #visual notation
Visual Feedback to Reduce Influence of Delay on Video Chatting (KM, MH, YS), pp. 157–164.
ICEISICEIS-v4-2011-HuoXT
Characteristics of Modern Logistics and Ways of Reducing Logistics Costs (CH, MX, YT), pp. 732–735.
CIKMCIKM-2011-GaoXHCH #named #transaction
PCMLogging: reducing transaction logging overhead with PCM (SG, JX, BH, BC, HH), pp. 2401–2404.
CIKMCIKM-2011-KolbTR #pipes and filters
Block-based load balancing for entity resolution with MapReduce (LK, AT, ER), pp. 2397–2400.
CIKMCIKM-2011-WuQD #owl #pipes and filters #using
Finding all justifications of OWL entailments using TMS and MapReduce (GW, GQ, JD), pp. 1425–1434.
KDDKDD-2011-AoyamaSSU #approximate #graph #performance #similarity
Fast approximate similarity search based on degree-reduced neighborhood graphs (KA, KS, HS, NU), pp. 1055–1063.
KDDKDD-2011-CordeiroTTLKF #clustering #dataset #multi #pipes and filters #scalability
Clustering very large multi-dimensional datasets with MapReduce (RLFC, CTJ, AJMT, JL, UK, CF), pp. 690–698.
KDDKDD-2011-EneIM #clustering #performance #pipes and filters #using
Fast clustering using MapReduce (AE, SI, BM), pp. 681–689.
KEODKEOD-2011-KohnMSL #complexity #ontology
Use of Existing Ontologies as Input for Structural Complexity Management — Reducing the Effort for Analysing and Improving Engineering Systems (AK, MM, HXS, UL), pp. 195–201.
MODELSMoDELS-2011-FeinererSS #diagrams #multi
Reducing Multiplicities in Class Diagrams (IF, GS, TS), pp. 379–393.
MODELSMoDELS-2011-FeinererSS #diagrams #multi
Reducing Multiplicities in Class Diagrams (IF, GS, TS), pp. 379–393.
OOPSLAOOPSLA-2011-WuHIN #java #performance #scalability
Reducing trace selection footprint for large-scale Java applications without performance loss (PW, HH, HI, TN), pp. 789–804.
SACSAC-2011-SreekumariC #multi #network
A new TCP mechanism for reducing retransmission timeouts over multi-hop wireless networks (PS, SHC), pp. 498–505.
SACSAC-2011-YouYH #framework #pipes and filters
A load-aware scheduler for MapReduce framework in heterogeneous cloud environments (HHY, CCY, JLH), pp. 127–132.
HPCAHPCA-2011-RobatmiliGBK #distributed
Exploiting criticality to reduce bottlenecks in distributed uniprocessors (BR, MSSG, DB, SWK), pp. 431–442.
HPDCHPDC-2011-FadikaDGR #adaptation #pipes and filters
Adapting MapReduce for HPC environments (ZF, ED, MG, LR), pp. 263–264.
HPDCHPDC-2011-KangCWSW #pipes and filters
Enhancement of Xen’s scheduler for MapReduce workloads (HK, YC, JLW, RS, JW), pp. 251–262.
HPDCHPDC-2011-ZhangLWK #data access #energy #scheduling
Software-directed data access scheduling for reducing disk energy consumption (YZ, JL, EHW, MTK), pp. 281–282.
CADECADE-2011-Brown #higher-order #problem #proving #satisfiability #sequence #theorem proving
Reducing Higher-Order Theorem Proving to a Sequence of SAT Problems (CEB), pp. 147–161.
ICSTSAT-2011-AbioDNS
Reducing Chaos in SAT-Like Search: Finding Solutions Close to a Given One (IA, MD, RN, PJS), pp. 273–286.
ASEASE-2010-AroonvatanapornSB #assessment #estimation #nondeterminism
Reducing estimation uncertainty with continuous assessment: tracking the “cone of uncertainty” (PA, CS, BWB), pp. 337–340.
ASEASE-2010-ShangAH #case study #experience #mining #pipes and filters #repository #scalability #tool support #using
An experience report on scaling tools for mining software repositories using MapReduce (WS, BA, AEH), pp. 275–284.
DACDAC-2010-HuXTHQS #embedded #migration #process
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation (JH, CJX, WCT, YH, MQ, EHMS), pp. 350–355.
DACDAC-2010-WilleSD
Reducing the number of lines in reversible circuits (RW, MS, RD), pp. 647–652.
DATEDATE-2010-Kheradmand-BoroujeniPL #independence #novel #process
AVGS-Mux style: A novel technology and device independent technique for reducing power and compensating process variations in FPGA fabrics (BKB, CP, YL), pp. 339–344.
DATEDATE-2010-MahmoodBMMD #modelling #multi #order #programming
Passive reduced order modeling of multiport interconnects via semidefinite programming (ZM, BNB, TM, AM, LD), pp. 622–625.
DATEDATE-2010-PomeranzR #requirements #sequence #testing #using
Reducing the storage requirements of a test sequence by using a background vector (IP, SMR), pp. 1237–1242.
DATEDATE-2010-YuZQB #behaviour #design #power management
Behavioral level dual-vth design for reduced leakage power with thermal awareness (JY, QZ, GQ, JB), pp. 1261–1266.
SIGMODSIGMOD-2010-CondieCAHGTES #online #pipes and filters #query
Online aggregation and continuous query support in MapReduce (TC, NC, PA, JMH, JG, JT, KE, RS), pp. 1115–1118.
SIGMODSIGMOD-2010-MortonBG #graph #named #pipes and filters
ParaTimer: a progress indicator for MapReduce DAGs (KM, MB, DG), pp. 507–518.
SIGMODSIGMOD-2010-VernicaCL #parallel #performance #pipes and filters #using
Efficient parallel set-similarity joins using MapReduce (RV, MJC, CL), pp. 495–506.
SIGMODSIGMOD-2010-WangWLWWLTXL #dataset #detection #named
MapDupReducer: detecting near duplicates over massive datasets (CW, JW, XL, WW, HW, HL, WT, JX, RL), pp. 1119–1122.
VLDBVLDB-2010-Chen #named #performance #pipes and filters
Cheetah: A High Performance, Custom Data Warehouse on Top of MapReduce (SC), pp. 1459–1468.
VLDBVLDB-2010-ChenOZ #adaptation #database #protocol
An Adaptive Updating Protocol for Reducing Moving Object Databases Workload (SC, BCO, ZZ), pp. 735–746.
VLDBVLDB-2010-JiangOSW #performance #pipes and filters
The Performance of MapReduce: An In-depth Study (DJ, BCO, LS, SW), pp. 472–483.
VLDBVLDB-2010-LangP #clustering #energy #pipes and filters
Energy Management for MapReduce Clusters (WL, JMP), pp. 129–139.
VLDBVLDB-2010-NykielPMKK #multi #named #pipes and filters #query
MRShare: Sharing Across Multiple Queries in MapReduce (TN, MP, CM, GK, NK), pp. 494–505.
VLDBVLDB-2010-SchadDQ #in the cloud #metric #runtime
Runtime Measurements in the Cloud: Observing, Analyzing, and Reducing Variance (JS, JD, JAQR), pp. 460–471.
VLDBVLDB-2010-WangSSWCDGW #behaviour #pipes and filters #simulation
Behavioral Simulations in MapReduce (GW, MAVS, BS, XW, TC, AJD, JG, WMW), pp. 952–963.
CHICHI-2010-Lackaff #network
Propitious aggregation: reducing participant burden in ego-centric network data collection (DL), pp. 1467–1470.
CIKMCIKM-2010-PobleteBMB #graph #image #query #retrieval #semantic gap #using #web
Visual-semantic graphs: using queries to reduce the semantic gap in web image retrieval (BP, BB, MM, JMB), pp. 1553–1556.
ICPRICPR-2010-SchryverR #categorisation #set
The Rex Leopold II Model: Application of the Reduced Set Density Estimator to Human Categorization (MDS, BR), pp. 4356–4359.
KDIRKDIR-2010-ViswanathBK #classification #nearest neighbour #performance #set
A Method to Generate a Reduced Training Set for Faster and Better Nearest Neighbor Classification (PV, VSB, TNK), pp. 232–236.
SEKESEKE-2010-Wang #black box #parametricity #testing #using
Reducing Black-box Test Suite Using Input Parameter Relationships (LW), pp. 180–185.
OOPSLAOOPSLA-2010-RinardHMS #analysis #comprehension #statistics
Patterns and statistical analysis for understanding reduced resource computing (MCR, HH, SM, SS), pp. 806–821.
SACSAC-2010-BautistaSHPD #clustering #power management #requirements #set
Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption (DB, JS, HH, SP, JD), pp. 521–526.
SACSAC-2010-HassanB #distributed #file system #using
Semi-join computation on distributed file systems using map-reduce-merge model (MAHH, MB), pp. 406–413.
SACSAC-2010-KimHJEY #framework #pipes and filters
Harnessing input redundancy in a MapReduce framework (SGK, HH, HJ, HE, HYY), pp. 362–366.
SACSAC-2010-KimJJ
Dynamic register-renaming scheme for reducing power-density and temperature (JK, STJ, CSJ), pp. 231–237.
SACSAC-2010-NetoGBPM #automation #documentation #fault #image #semantics
An automatic linking service of document images reducing the effects of OCR errors with latent semantics (RBN, JACG, AB, JP, AAM), pp. 13–17.
ASPLOSASPLOS-2010-VenkateshSGGBLST #energy #maturity
Conservation cores: reducing the energy of mature computations (GV, JS, NG, SG, VB, JLM, SS, MBT), pp. 205–218.
HPCAHPCA-2010-JafriTV #named #transaction
LiteTM: Reducing transactional state overhead (SARJ, MT, TNV), pp. 1–12.
HPDCHPDC-2010-EkanayakeLZGBQF #named #pipes and filters #runtime
Twister: a runtime for iterative MapReduce (JE, HL, BZ, TG, SHB, JQ, GF), pp. 810–818.
HPDCHPDC-2010-HuangSILLWJ #named #pipes and filters #realtime
MR-scope: a real-time tracing tool for MapReduce (DH, XS, SI, LL, HL, SW, HJ), pp. 849–855.
HPDCHPDC-2010-KieferVL #pipes and filters
Pairwise Element Computation with MapReduce (TK, PBV, WL), pp. 826–833.
HPDCHPDC-2010-LeoZ #api #named #pipes and filters #python
Pydoop: a Python MapReduce and HDFS API for Hadoop (SL, GZ), pp. 819–825.
HPDCHPDC-2010-LinMAFGZ #named #pipes and filters
MOON: MapReduce On Opportunistic eNvironments (HL, XM, JSA, WcF, MKG, ZZ), pp. 95–106.
HPDCHPDC-2010-MoiseAB #concurrent #framework
Improving the Hadoop map/reduce framework to support concurrent appends through the BlobSeer BLOB management system (DM, GA, LB), pp. 834–840.
HPDCHPDC-2010-PanBM #multi #pipes and filters #query
Parallelizing multiple group-by query in share-nothing environment: a MapReduce study case (JP, YLB, FM), pp. 856–863.
HPDCHPDC-2010-SehrishMWB #data access #framework #named #novel
MRAP: a novel MapReduce-based framework to support HPC analytics applications with access patterns (SS, GM, JW, JB), pp. 107–118.
HPDCHPDC-2010-StuartCMO #multi #pipes and filters #using
Multi-GPU volume rendering using MapReduce (JAS, CKC, KLM, JDO), pp. 841–848.
HPDCHPDC-2010-UrbaniMB #pipes and filters #semantics #web
Massive Semantic Web data compression with MapReduce (JU, JM, HEB), pp. 795–802.
OSDIOSDI-2010-AnanthanarayananKGSLSH #clustering #using
Reining in the Outliers in Map-Reduce Clusters using Mantri (GA, SK, AGG, IS, YL, BS, EH), pp. 265–278.
ICSTICST-2010-NagahawatteD #effectiveness #fault #testing
The Effectiveness of Regression Testing Techniques in Reducing the Occurrence of Residual Defects (PN, HD), pp. 79–88.
ICTSSICTSS-2010-HemmatiAB #cost analysis #modelling #testing
Reducing the Cost of Model-Based Testing through Test Case Diversity (HH, AA, LCB), pp. 63–78.
RTARTA-2010-GuglielmiGP #calculus #proving
A Proof Calculus Which Reduces Syntactic Bureaucracy (AG, TG, MP), pp. 135–150.
ASEASE-2009-ShivajiWAK #debugging #predict
Reducing Features to Improve Bug Prediction (SS, EJWJ, RA, SK), pp. 600–604.
DACDAC-2009-ChouCK #synthesis
Handling don’t-care conditions in high-level synthesis and application for reducing initialized registers (HZC, KHC, SYK), pp. 412–415.
DATEDATE-2009-GarridoVSML #detection #implementation
Implementation of a reduced-lattice MIMO detector for OFDM Systems (JSG, HV, MS, DM, AL), pp. 1626–1631.
DATEDATE-2009-GuptaRHWB #approach
An event-guided approach to reducing voltage noise in processors (MSG, VJR, GHH, GYW, DMB), pp. 160–165.
DATEDATE-2009-MillbergJ #worst-case
Priority based forced requeue to reduce worst-case latencies for bursty traffic (MM, AJ), pp. 1070–1075.
DATEDATE-2009-OzturkK #compilation #execution #memory management #using
Using dynamic compilation for continuing execution under reduced memory availability (ÖÖ, MTK), pp. 1373–1378.
DATEDATE-2009-WilsonW #configuration management #variability
Optimal sizing of configurable devices to reduce variability in integrated circuits (PRW, RW), pp. 1385–1390.
SIGMODSIGMOD-2009-SimitsisWCD #cost analysis #design
QoX-driven ETL design: reducing the cost of ETL consulting engagements (AS, KW, MC, UD), pp. 953–960.
VLDBVLDB-2009-AbouzeidBARS #architecture #hybrid #named #pipes and filters
HadoopDB: An Architectural Hybrid of MapReduce and DBMS Technologies for Analytical Workloads (AA, KBP, DJA, AR, AS), pp. 922–933.
VLDBVLDB-2009-FriedmanPC #approach #named #pipes and filters #polymorphism #self #sql
SQL/MapReduce: A practical approach to self-describing, polymorphic, and parallelizable user-defined functions (EF, PMP, JC), pp. 1402–1413.
VLDBVLDB-2009-GatesNCKNORSS #data flow #experience #pipes and filters
Building a HighLevel Dataflow System on top of MapReduce: The Pig Experience (AG, ON, SC, PK, SN, CO, BR, SS, US), pp. 1414–1425.
VLDBVLDB-2009-PandaHBB #learning #named #parallel #pipes and filters
PLANET: Massively Parallel Learning of Tree Ensembles with MapReduce (BP, JH, SB, RJB), pp. 1426–1437.
VLDBVLDB-2009-ThusooSJSCALWM #framework #named
Hive — A Warehousing Solution Over a Map-Reduce Framework (AT, JSS, NJ, ZS, PC, SA, HL, PW, RM), pp. 1626–1629.
CSEETCSEET-2009-Subrahmanyam #education #framework #re-engineering
A Dynamic Framework for Software Engineering Education Curriculum to Reduce the Gap between the Software Organizations and Software Educational Institutions (GVBS), pp. 248–254.
WRLAWRLA-2008-HassN09 #abstraction #equation
Equational Abstractions for Reducing the State Space of Rewrite Theories (LHH, TN), pp. 139–154.
WRLAWRLA-2008-Rodriguez09
Combining Techniques to Reduce State Space and Prove Strong Properties (DER), pp. 267–280.
FASEFASE-2009-JagannathLDM #bound #cost analysis #testing
Reducing the Costs of Bounded-Exhaustive Testing (VJ, YYL, BD, DM), pp. 171–185.
FoSSaCSFoSSaCS-2009-MurawskiT #abstraction #ml
Full Abstraction for Reduced ML (ASM, NT), pp. 32–47.
ICSMEICSM-2009-Harrold #reuse #testing
Reduce, reuse, recycle, recover: Techniques for improved regression testing (MJH), p. 5.
MSRMSR-2009-ShangJAH #framework #mining #pipes and filters #repository #research
MapReduce as a general framework to support research in Mining Software Repositories (MSR) (WS, ZMJ, BA, AEH), pp. 21–30.
FMFM-2009-VakkalankaVGK #execution #semantics #theory and practice
Reduced Execution Semantics of MPI: From Theory to Practice (SSV, AV, GG, RMK), pp. 724–740.
CHICHI-2009-Hudson #challenge #design
Reduced empathizing skills increase challenges for user-centered design (WH), pp. 1327–1330.
HCIDHM-2009-JunLS #approach #fault #simulation
A Simulation Approach to Understand the Viability of RFID Technology in Reducing Medication Dispensing Errors (EJ, JL, XS), pp. 531–539.
HCIDHM-2009-LoudonM #design #representation #towards #visual notation
Towards a Visual Representation of the Effects of Reduced Muscle Strength in Older Adults: New Insights and Applications for Design and Healthcare (DL, ASM), pp. 540–549.
HCIDHM-2009-NamA #data mining #image #mining
Data Mining of Image Segments Data with Reduced Neurofuzzy System (DHN, EA), pp. 710–716.
HCIHCD-2009-AkatsuK #visual notation
Auditory and Visual Guidance for Reducing Cognitive Load (HA, AK), pp. 391–397.
HCIHCI-NIMT-2009-SaitoS #exclamation #locality
Fly! Little Me: Localization of Body-Image within Reduced-Self (TS, MS), pp. 255–260.
ICEISICEIS-AIDSS-2009-Rojas-MoraG #fuzzy #nondeterminism #set
The Signing of a Professional Athlete — Reducing Uncertainty with a Weighted Mean Hemimetric for Phi — Fuzzy Subsets (JRM, JGL), pp. 158–163.
CIKMCIKM-2009-Collins-Thompson #optimisation #query #robust
Reducing the risk of query expansion via robust constrained optimization (KCT), pp. 837–846.
KEODKEOD-2009-MatusiewiczMR #logic
Reduced Implicate Tries and Logical Operations (AM, NVM, ER), pp. 456–459.
SIGIRSIGIR-2009-KumaranC #predict #quality #query #using
Reducing long queries using query quality predictors (GK, VRC), pp. 564–571.
SIGIRSIGIR-2009-Lin #documentation #pipes and filters #similarity
Brute force and indexed approaches to pairwise document similarity comparisons with MapReduce (JJL), pp. 155–162.
SIGIRSIGIR-2009-McCreadieMO #on the #pipes and filters
On single-pass indexing with MapReduce (RM, CM, IO), pp. 742–743.
SACSAC-2009-WakataniK #algorithm #grid #parallel
Reduced parallel PNN algorithm for PC grid systems (AW, TK), pp. 1009–1010.
CGOCGO-2009-SpearMSW #memory management #transaction
Reducing Memory Ordering Overheads in Software Transactional Memory (MFS, MMM, MLS, PW), pp. 13–24.
HPCAHPCA-2009-ReddiGHWSB #predict #using
Voltage emergency prediction: Using signatures to reduce operating margins (VJR, MSG, GHH, GYW, MDS, DMB), pp. 18–29.
LCTESLCTES-2009-LeeS #compilation #fault #optimisation
A compiler optimization to reduce soft errors in register files (JL, AS), pp. 41–49.
CAVCAV-2009-MajumdarX #testing #using
Reducing Test Inputs Using Information Partitions (RM, RGX), pp. 555–569.
CAVCAV-2009-TorreMP #bound #concurrent #reachability
Reducing Context-Bounded Concurrent Reachability to Sequential Reachability (SLT, PM, GP), pp. 477–492.
ICSTICST-2009-KaminskiA #detection #fault #logic #testing #using
Using Logic Criterion Feasibility to Reduce Test Set Size While Guaranteeing Fault Detection (GKK, PA), pp. 356–365.
FATESTestCom-FATES-2009-SimaoPY #generative #testing
Generating Reduced Tests for FSMs with Extra States (AdSS, AP, NY), pp. 129–145.
TLCATLCA-2009-Riba #on the
On the Values of Reducibility Candidates (CR), pp. 264–278.
VMCAIVMCAI-2009-GurovH #behaviour #source code
Reducing Behavioural to Structural Properties of Programs with Procedures (DG, MH), pp. 136–150.
ASEASE-2008-DwyerDE #cost analysis #monitoring
Reducing the Cost of Path Property Monitoring Through Sampling (MBD, MD, SGE), pp. 228–237.
ASEASE-2008-PostSKG #abstract interpretation #bound #model checking
Reducing False Positives by Combining Abstract Interpretation and Bounded Model Checking (HP, CS, AK, TG), pp. 188–197.
DATEDATE-2008-BacinschiMKG #adaptation #bias
An Analog On-Chip Adaptive Body Bias Calibration for Reducing Mismatches in Transistor Pairs (PBB, TM, KK, MG), pp. 698–703.
DATEDATE-2008-BatcherW #embedded #scheduling
Dynamic Round-Robin Task Scheduling to Reduce Cache Misses for Embedded Systems (KWB, RAW), pp. 260–263.
DATEDATE-2008-BernardiR #novel #testing
An novel Methodology for Reducing SoC Test Data Volume on FPGA-based Testers (PB, MSR), pp. 194–199.
ICSMEICSM-2008-XieGF #named #rest #testing
REST: A tool for reducing effort in script-based testing (QX, MG, CF), pp. 468–469.
SEFMSEFM-2008-XavierHM #fault tolerance #source code #using #verification
Using Formal Verification to Reduce Test Space of Fault-Tolerant Programs (KSX, SH, ACVdM), pp. 181–190.
CSCWCSCW-2008-CummingsK #collaboration #distributed #experience #research
Who collaborates successfully?: prior experience reduces collaboration barriers in distributed interdisciplinary research (JNC, SBK), pp. 437–446.
ICEISICEIS-AIDSS-2008-StateCRP #algorithm #classification #learning
A New Learning Algorithm for Classification in the Reduced Space (LS, CC, IR, PV), pp. 155–160.
CIKMCIKM-2008-ZhangPW #named
REDUS: finding reducible subspaces in high dimensional data (XZ, FP, WW), pp. 961–970.
ICPRICPR-2008-SwadzbaVHW
Reducing noise and redundancy in registered range data for planar surface extraction (AS, ALV, MH, SW), pp. 1–4.
KDDKDD-2008-SarmaGI #query #using
Bypass rates: reducing query abandonment using negative inferences (ADS, SG, SI), pp. 177–185.
SIGIRSIGIR-2008-WangZZ #image #learning #retrieval #semantic gap #web
Learning to reduce the semantic gap in web image retrieval and annotation (CW, LZ, HJZ), pp. 355–362.
SACSAC-2008-AtoofianB #behaviour #embedded #latency #memory management
Exploiting program cyclic behavior to reduce memory latency in embedded processors (EA, AB), pp. 1482–1486.
ASPLOSASPLOS-2008-BallapuramSL #behaviour #multi #semantics
Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors (CSB, AS, HHSL), pp. 60–69.
ISMMISMM-2008-PizloPS #execution
Path specialization: reducing phased execution overheads (FP, EP, BS), pp. 81–90.
LCTESLCTES-2008-HomayounPMV #adaptation #embedded #energy #performance
Improving performance and reducing energy-delay with adaptive resource resizing for out-of-order embedded processors (HH, SP, MAM, AVV), pp. 71–78.
OSDIOSDI-2008-ZahariaKJKS #performance #pipes and filters
Improving MapReduce Performance in Heterogeneous Environments (MZ, AK, ADJ, RHK, IS), pp. 29–42.
CAVCAV-2008-LalR #analysis #bound #concurrent
Reducing Concurrent Analysis Under a Context Bound to Sequential Analysis (AL, TWR), pp. 37–51.
FATESTestCom-FATES-2008-SimaoP #finite #generative #sequence #state machine
Generating Checking Sequences for Partial Reduced Finite State Machines (AdSS, AP), pp. 153–168.
ASEASE-2007-DiepED
Reducing irrelevant trace variations (MD, SGE, MBD), pp. 477–480.
ASEASE-2007-DwyerP #cost analysis #dynamic analysis #static analysis #type system
Residual dynamic typestate analysis exploiting static analysis: results to reformulate and reduce the cost of dynamic analysis (MBD, RP), pp. 124–133.
DACDAC-2007-CzajkowskiB #using
Using Negative Edge Triggered FFs to Reduce Glitching Power in FPGA Circuits (TSC, SDB), pp. 324–329.
DACDAC-2007-KocKEO #embedded #memory management #multi #using
Reducing Off-Chip Memory Access Costs Using Data Recomputation in Embedded Chip Multi-processors (HK, MTK, EE, ÖÖ), pp. 224–229.
DACDAC-2007-RamanCOD #multi
Reducing Data-Memory Footprint of Multimedia Applications by Delay Redistribution (BR, SC, WTO, SD), pp. 738–743.
DATEDATE-2007-GillPW #fault #interactive #power management #symmetry
Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA (BSG, CAP, FGW), pp. 1460–1465.
DATEDATE-2007-KeezerMD #multi
Method for reducing jitter in multi-gigahertz ATE (DCK, DM, PD), pp. 701–706.
DATEDATE-2007-QuSN #configuration management #energy #interactive #runtime #scalability #using
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices (YQ, JPS, JN), pp. 147–152.
DATEDATE-2007-WatanabeKINN #constraints #energy #interactive #multi #performance #scheduling
Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC (RW, MK, MI, HN, TN), pp. 797–802.
ICDARICDAR-2007-Shapiro #how #image #question
How to Reduce the Size of Bank Check Image Archive? (VS), pp. 148–152.
SIGMODSIGMOD-2007-YangDHP #clustering #named #relational #scalability
Map-reduce-merge: simplified relational data processing on large clusters (HcY, AD, RLH, DSPJ), pp. 1029–1040.
FoSSaCSFoSSaCS-2007-Riba #on the
On the Stability by Union of Reducibility Candidates (CR), pp. 317–331.
ICPCICPC-2007-KothariDSM #comprehension #convergence #evolution #implementation
Reducing Program Comprehension Effort in Evolving Software by Recognizing Feature Implementation Convergence (JK, TD, AS, SM), pp. 17–26.
ICSMEICSM-2007-GallagherHB #testing
Reducing Regression Test Size by Exclusion (KG, TH, SB), pp. 154–163.
CIAACIAA-J-2006-BastienCFR07 #exponential
Reducing Simple Grammars: Exponential against Highly-Polynomial Time in Practice (CB, JC, WF, WR), pp. 715–725.
CIAACIAA-2007-ChamparnaudGF #transducer
Reducing Acyclic Cover Transducers (JMC, FG, JF), pp. 38–50.
DLTDLT-2007-JuliaD
Reduced Languages as ω-Generators (SJ, TVD), pp. 266–277.
CHICHI-2007-GrossmanWB #readability
Exploring and reducing the effects of orientation on text readability in volumetric displays (TG, DW, RB), pp. 483–492.
HCIHIMI-IIE-2007-MurataNSKT #feedback #visual notation
Visual Feedback to Reduce the Negative Effects of Message Transfer Delay on Voice Chatting (KM, MN, YS, IK, YT), pp. 95–101.
AdaEuropeAdaEurope-2007-WooCJ #approach #compilation #representation #testing
An Intermediate Representation Approach to Reducing Test Suites for Retargeted Compilers (GW, HSC, HJ), pp. 100–113.
ICEISICEIS-EIS-2007-AddinquyT #enterprise #requirements #specification #using
Reducing Requirements to EIS Specifications GAP Using RM-ODP Enterprise Viewpoint (CA, BT), pp. 31–38.
MLDMMLDM-2007-RiesenKB #graph
Reducing the Dimensionality of Vector Space Embeddings of Graphs (KR, VK, HB), pp. 563–573.
SEKESEKE-2007-CangussuCW #component #evaluation #performance #testing
Reducing the Number of Test Cases for Performance Evaluation of Components (JWC, KMLC, WEW), pp. 145–150.
SEKESEKE-2007-CoxZO #data transfer
A Tag-Level Web-Caching Scheme for Reducing Redundant Data Transfers (SEC, DZ, JO), p. 274–?.
HPCAHPCA-2007-RangerRPBK #manycore #pipes and filters
Evaluating MapReduce for Multi-core and Multiprocessor Systems (CR, RR, AP, GRB, CK), pp. 13–24.
DACDAC-2006-AziziN #product line
A family of cells to reduce the soft-error-rate in ternary-CAM (NA, FNN), pp. 779–784.
DACDAC-2006-NabaaAN #adaptation #architecture #process
An adaptive FPGA architecture with process variation compensation and reduced leakage (GN, NA, FNN), pp. 624–629.
DATEDATE-2006-AmelifardFP #using
Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment (BA, FF, MP), pp. 995–1000.
DATEDATE-2006-LaMeresK #encoding #induction
Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission (BJL, SPK), pp. 522–527.
DATEDATE-2006-MajidzadehS #design #higher-order #novel
Arbitrary design of high order noise transfer function for a novel class of reduced-sample-rate sigma-delta-pipeline ADCs (VM, OS), pp. 138–143.
DATEDATE-2006-QuSN #configuration management #parallel #runtime
A parallel configuration model for reducing the run-time reconfiguration overhead (YQ, JPS, JN), pp. 965–969.
DATEDATE-2006-Shaver #architecture #deployment #generative
Next generation architectures can dramatically reduce the 4G deployment cycle (DS), p. 599.
ITiCSEITiCSE-2006-Pargas #process #scalability #student
Reducing lecture and increasing student activity in large computer science courses (RPP), pp. 3–7.
ICSMEICSM-2006-JansenR #network
Reducing Customers’ Total Cost of OwnershipWithin a Software Supply Network (SJ, WR), pp. 269–271.
PLDIPLDI-2006-ChenLKI #energy #scalability
Reducing NoC energy consumption through compiler-directed channel voltage scaling (GC, FL, MTK, MJI), pp. 193–203.
STOCSTOC-2006-GoldbergP #equilibrium #problem
Reducibility among equilibrium problems (PWG, CHP), pp. 61–70.
CIAACIAA-2006-BastienCFR #exponential
Reducing Simple Grammars: Exponential Against Highly-Polynomial Time in Practice (CB, JC, WF, WR), pp. 90–101.
EDOCEDOC-2006-BrennerAPMMS #component #re-engineering #testing #verification
Reducing Verification Effort in Component-Based Software Engineering through Built-In Testing (DB, CA, BP, RM, MM, DS), pp. 175–184.
ICMLICML-2006-TangM #multi
Multiclass reduced-set support vector machines (BT, DM), pp. 921–928.
ICPRICPR-v2-2006-MavroforakisST #algorithm #geometry #novel
A novel SVM Geometric Algorithm based on Reduced Convex Hulls (MEM, MS, ST), pp. 564–568.
ICPRICPR-v3-2006-JinJL #image #modelling
A Method of Reducing Speckle Noise of SAR Images Based on Wavelets and Wedgelet HMT Models (HyJ, LJ, FL), pp. 635–638.
ICPRICPR-v3-2006-PranckevicieneHS #feature model
Class Separability in Spaces Reduced By Feature Selection (EP, TH, RLS), pp. 254–257.
KDDKDD-2006-KonigB #categorisation
Reducing the human overhead in text categorization (ACK, EB), pp. 598–603.
CGOCGO-2006-SonCK #approach #locality #power management
A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality (SWS, GC, MTK), pp. 256–268.
CGOCGO-2006-ZhangZP #compilation #optimisation #security
Compiler Optimizations to Reduce Security Overhead (TZ, XZ, SP), pp. 346–357.
HPCAHPCA-2006-KumarA #concurrent #detection #fault #performance
Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors (SK, AA), pp. 212–221.
ISMMISMM-2006-McGacheyH
Reducing generational copy reserve overhead with fallback compaction (PM, ALH), pp. 17–28.
LCTESLCTES-2006-KreahlingHWT #comparison #cost analysis #specification #using
Reducing the cost of conditional transfers of control by using comparison specifications (WCK, SH, DBW, GST), pp. 64–71.
CSLCSL-2006-AtassiBT #logic #system f #verification
Verification of Ptime Reducibility for System F Terms Via Dual Light Affine Logic (VA, PB, KT), pp. 150–166.
ICLPICLP-2006-AlbertAPH
Reduced Certificates for Abstraction-Carrying Code (EA, PAS, GP, MVH), pp. 163–178.
MBTMBT-2006-BraspenningMR #development #integration #modelling #testing
A Model-based Integration and Testing Method to Reduce System Development Effort (NCWMB, JMvdMF, JER), pp. 13–28.
ICTSSTestCom-2006-UralZ #sequence
Reducing the Lengths of Checking Sequences by Overlapping (HU, FZ), pp. 274–288.
DACDAC-2005-GoplenSS
Net weighting to reduce repeater counts during placement (BG, PS, SSS), pp. 503–508.
DATEDATE-2005-IshiharaF #power management
A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors (TI, FF), pp. 358–363.
DATEDATE-2005-LeeCALK #hardware #predict #transaction
A Prediction Packetizing Scheme for Reducing Channel Traffic in Transaction-Level Hardware/Software Co-Emulation (JGL, MKC, KYA, SHL, CMK), pp. 384–389.
DATEDATE-2005-OzturkK #energy #memory management
Nonuniform Banking for Reducing Memory Energy Consumption (ÖÖ, MTK), pp. 814–819.
CSMRCSMR-2005-PighinM #maintenance
Reducing Corrective Maintenance Effort Considering Module’s History (MP, AM), pp. 232–235.
ICSMEICSM-2005-PighinM #maintenance #optimisation
Optimizing Test to Reduce Maintenance (MP, AM), pp. 465–472.
ICSMEICSM-2005-YuDMA #evolution #scalability
Reducing Build Time through Precompilations for Evolving Large Software (YY, HDF, JM, PA), pp. 59–68.
CHICHI-2005-HoI #mobile #using
Using context-aware computing to reduce the perceived burden of interruptions from mobile devices (JH, SSI), pp. 909–918.
ICEISICEIS-v2-2005-ClemmonsH #enterprise #hybrid
Reducing Risk in the Enterprise: Proposal for a Hybrid Audit Expert System (SC, KH), pp. 260–266.
CIKMCIKM-2005-LiLLY #on the #performance #xml
On reducing redundancy and improving efficiency of XML labeling schemes (CL, TWL, JL, TY), pp. 225–226.
ICMLICML-2005-BridewellALT #induction #process
Reducing overfitting in process model induction (WB, NBA, PL, LT), pp. 81–88.
CGOCGO-2005-StoodleyS #automation #compilation #java
Automatically Reducing Repetitive Synchronization with a Just-in-Time Compiler for Java (MGS, VS), pp. 27–36.
HPCAHPCA-2005-JaleelJ #memory management #using
Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions (AJ, BLJ), pp. 191–200.
PPoPPPPoPP-2005-SonCKC #compilation #energy #layout #parallel
Exposing disk layout to compiler for reducing energy consumption of parallel disk based systems (SWS, GC, MTK, ANC), pp. 174–185.
ICLPICLP-2005-PelovT #induction #satisfiability
Reducing Inductive Definitions to Propositional Satisfiability (NP, ET), pp. 221–234.
TLCATLCA-2005-LindleyS
Reducibility and TT-Lifting for Computation Types (SL, IS), pp. 262–277.
DACDAC-2004-KejariwalGNDG #algorithm #clustering #energy #mobile
Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices (AK, SG, AN, ND, RG), pp. 556–561.
DACDAC-2004-RajaramHM #variability
Reducing clock skew variability via cross links (AR, JH, RNM), pp. 18–23.
DATEDATE-DF-2004-BrandoleseFSS #analysis #energy #modelling #program transformation #source code
Analysis and Modeling of Energy Reducing Source Code Transformations (CB, WF, FS, DS), pp. 306–311.
DATEDATE-v1-2004-AtienzaMCMS #design #memory management #multi #network
Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications (DA, SM, FC, JMM, DS), pp. 532–537.
DATEDATE-v1-2004-ChangYL
Value-Conscious Cache: Simple Technique for Reducing Cache Access Power (YJC, CLY, FL), pp. 16–21.
DATEDATE-v1-2004-WongT #configuration management #encoding #power management
Re-Configurable Bus Encoding Scheme for Reducing Power Consumption of the Cross Coupling Capacitance for Deep Sub-Micron Instruction Bus (SKW, CYT), pp. 130–135.
DATEDATE-v2-2004-KadayifK #energy #network
Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks (IK, MTK), pp. 852–857.
DATEDATE-v2-2004-KadayifKK #energy #multi
Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors (IK, MTK, IK), pp. 1158–1163.
DATEDATE-v2-2004-TehranipourNC #flexibility #testing
Nine-Coded Compression Technique with Application to Reduced Pin-Count Testing and Flexible On-Chip Decompression (MHT, MN, KC), pp. 1284–1289.
DocEngDocEng-2004-TroncyC
A reduced yet extensible audio-visual description language (RT, JC), pp. 87–89.
ICSMEICSM-2004-Gustavsson #evolution #problem #process #runtime
Strategies for Handling the Activity Problem in Runtime Software Evolution by Reducing Activity (JG), p. 525.
CHICHI-2004-LinAL #effectiveness
Virtual guiding avatar: an effective procedure to reduce simulator sickness in virtual environments (JJWL, HAR, ML), pp. 719–726.
ICEISICEIS-v2-2004-SalterSS #component #development #information management
Reducing Rework in the Development of Information Systems Through the Components of Decisions (AS, HS, BS), pp. 430–435.
ICEISICEIS-v3-2004-DavisTC #development #enterprise #framework #information management #specification
Meta Data Framework for Enterprise Information Systems Specification — Aiming to Reduce or Remove the Development Phase for EIS Systems (JD, AT, EC), pp. 451–456.
ICMLICML-2004-CastilloW #case study #comparative #learning #multi
A comparative study on methods for reducing myopia of hill-climbing search in multirelational learning (LPC, SW).
ICPRICPR-v1-2004-Zou #adaptation #image
Reducing Artifacts in BDCT-Coded Images by Adaptive Pixel-Adjustment (JJZ), pp. 508–511.
KRKR-2004-HustadtMS #datalog #logic #source code
Reducing SHIQ-Description Logic to Disjunctive Datalog Programs (UH, BM, US), pp. 152–162.
SACSAC-2004-Kryszkiewicz
Reducing borders of k-disjunction free representations of frequent patterns (MK), pp. 559–563.
ICSEICSE-2004-ZhangGZ #diagrams #dynamic analysis #order #performance #slicing #using
Efficient Forward Computation of Dynamic Slices Using Reduced Ordered Binary Decision Diagrams (XZ, RG, YZ), pp. 502–511.
LDTALDTA-2004-GradaraSVV #model checking #modelling #parallel #source code #thread
Model Checking Multithreaded Programs by Means of Reduced Models (SG, AS, MLV, GV), pp. 55–74.
CCCC-2004-JohnsonM #memory management #multi #using
Using Multiple Memory Access Instructions for Reducing Code Size (NJ, AM), pp. 265–280.
CCCC-2004-OwenW #cost analysis
Reducing the Cost of Object Boxing (TO, DW), pp. 202–216.
HPCAHPCA-2004-EhrhartP #predict #scheduling #using
Reducing the Scheduling Critical Cycle Using Wakeup Prediction (TEE, SJP), pp. 222–231.
HPCAHPCA-2004-GandhiAS #branch #predict
Reducing Branch Misprediction Penalty via Selective Branch Recovery (AG, HA, STS), pp. 254–264.
HPCAHPCA-2004-WenWPK #predict
Exploiting Prediction to Reduce Power on Buses (VW, MW, YP, JK), pp. 2–13.
HPCAHPCA-2004-ZhuDDLZC #energy #power management #using
Reducing Energy Consumption of Disk Storage Using Power-Aware Cache Management (QZ, FMD, CFD, ZL, YZ, PC), pp. 118–129.
OSDIOSDI-2004-DeanG #clustering #named #pipes and filters #scalability
MapReduce: Simplified Data Processing on Large Clusters (JD, SG), pp. 137–150.
FATESFATES-2004-HongU #cost analysis #generative #model checking #testing #using
Using Model Checking for Reducing the Cost of Test Generation (HSH, HU), pp. 110–124.
IJCARIJCAR-2004-Lochner #order
A Redundancy Criterion Based on Ground Reducibility by Ordered Rewriting (BL), pp. 45–59.
DATEDATE-2003-JiangMB #algebra #multi
Reducing Multi-Valued Algebraic Operations to Binary (JHRJ, AM, RKB), pp. 10752–10757.
DATEDATE-2003-KandemirKZ #energy #evaluation #implementation #on-demand
Implementation and Evaluation of an On-Demand Parameter-Passing Strategy for Reducing Energy (MTK, IK, WZ), pp. 11058–11063.
DATEDATE-2003-NicolaescuVN #embedded #power management
Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors (DN, AVV, AN), pp. 11064–11069.
DATEDATE-2003-VelenisPF #network #nondeterminism #performance
Reduced Delay Uncertainty in High Performance Clock Distribution Networks (DV, MCP, EGF), pp. 10068–10075.
DATEDATE-2003-ZhangKVID #compilation #energy
Compiler Support for Reducing Leakage Energy Consumption (WZ, MTK, NV, MJI, VD), pp. 11146–11147.
SIGMODSIGMOD-2003-SengarH #named #optimisation #query
PLASTIC: Reducing Query Optimization Overheads through Plan Recycling (VSS, JRH), p. 676.
VLDBVLDB-2003-ChenDZ #named #xml
RRXF: Redundancy reducing XML storage in relations (YC, SBD, CSH, YZ), pp. 189–200.
CSMRCSMR-2003-BaldassarreBCV #maintenance #process #reuse
Full Reuse Maintenance Process for Reducing Software Degradatio (MTB, AB, DC, CAV), p. 289–?.
SASSAS-2003-UnnikrishnanCKKK #requirements
Loop Transformations for Reducing Data Space Requirements of Resource-Constrained Applications (PU, GC, MTK, MK, IK), pp. 383–400.
STOCSTOC-2003-AwerbuchAM #online #optimisation
Reducing truth-telling online mechanisms to online optimization (BA, YA, AM), pp. 503–510.
CIAACIAA-2003-Trakhtman #complexity #testing
Reducing the Time Complexity of Testing for Local Threshold Testability (AT), pp. 141–149.
SOFTVISSOFTVIS-2003-Tudoreanu #design #effectiveness #tool support #visualisation
Designing Effective Program Visualization Tools for Reducing User’s Cognitive Effort (MET), pp. 105–114.
VISSOFTVISSOFT-2003-Hamou-LhadjL #complexity #execution #object-oriented
Techniques for Reducing the Complexity of Object-Oriented Execution Traces (AHL, TCL), pp. 35–40.
ICEISICEIS-v2-2003-RaysonSACCCDOQRSSSW #framework #named
Tracker: A Framework to Support Reducing Rework Through Decision Management (PR, BS, AA, JC, CC, RJC, AJD, VO, AQ, DR, AS, HS, IS, PCW), pp. 344–351.
POPLPOPL-2003-CarterFT #graph
Folklore confirmed: reducible flow graphs are exponentially larger (LC, JF, CDT), pp. 106–114.
CCCC-2003-KandemirICR
Address Register Assignment for Reducing Code Size (MTK, MJI, GC, JR), pp. 273–289.
CGOCGO-2003-SmelyanskiyMDL #constraints #scheduling
Predicate-Aware Scheduling: A Technique for Reducing Resource Constraints (MS, SAM, ESD, HHSL), pp. 169–178.
DACDAC-2002-AttarhaN #analysis #fault #modelling #using
Signal integrity fault analysis using reduced-order modeling (AA, MN), pp. 367–370.
DACDAC-2002-LuzKK #automation #energy #memory management #migration #multi
Automatic data migration for reducing energy consumption in multi-bank memory systems (VDLL, MTK, IK), pp. 213–218.
DATEDATE-2002-ChandraC #clustering #testing
Test Resource Partitioning and Reduced Pin-Count Testing Based on Test Data Compression (AC, KC), pp. 598–603.
DATEDATE-2002-HalambiSBDN #compilation #performance #reduction #using
An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs (AH, AS, PB, NDD, AN), pp. 402–408.
DATEDATE-2002-KandemirK #energy
Reducing Cache Access Energy in Array-Intensive Application (MTK, IK), p. 1092.
DATEDATE-2002-RedaO #encoding #testing
Reducing Test Application Time Through Test Data Mutation Encoding (SR, AO), pp. 387–393.
ITiCSEITiCSE-2002-Hazzan #abstraction #concept #learning
Reducing abstraction level when learning computability theory concepts (OH), pp. 156–160.
ICSMEICSM-2002-BochicchioL #approach #effectiveness
An Effective Approach to Reduce the “Avalanche Effect” in the Management of Fiscal Data in Local Public Administration (MAB, AL), pp. 560–567.
CIAACIAA-2002-Sutner #automaton
Reduced Power Automata (KS), pp. 194–202.
IFLIFL-2002-BoisLT #concurrent #graph #migration #parallel #reduction #thread
Thread Migration in a Parallel Graph Reducer (ARDB, HWL, PWT), pp. 199–214.
VISSOFTVISSOFT-2002-RillingSB #analysis #complexity #concept #source code #visualisation
The CONCEPT Project — Applying Source Code Analysis to Reduce Information Complexity of Static and Dynamic Visualization Techniques (JR, AS, CB), p. 90.
ICPRICPR-v2-2002-HuangT #classification #fault #pattern matching #pattern recognition #recognition
An RBF-Based Pattern Recognition Method by Competitively Reducing Classification-Oriented Error (YSH, YHT), pp. 180–183.
ICPRICPR-v2-2002-XiaoAX #optimisation #set
Pair-Wise Sequential Reduced Set for Optimization of Support Vector Machines (XX, HA, GX), pp. 860–863.
ICPRICPR-v2-2002-ZouY #image
A POCS-Based Method for Reducing Artifacts in BDCT Compressed Images (JJZ, HY), pp. 253–256.
ICPRICPR-v3-2002-GurevichJS #image #invariant #recognition
A Method of Image Recognition Based on the Fusion of Reduced Invariant Representations: Mathematical Substantiation (IBG, IAJ, YGS), pp. 391–394.
KRKR-2002-Lin #equivalence #logic programming #source code
Reducing Strong Equivalence of Logic Programs to Entailment in Classical Propositional Logic (FL), pp. 170–176.
SIGIRSIGIR-2002-AllanR #ambiguity #query #using
Using part-of-speech patterns to reduce query ambiguity (JA, HR), pp. 307–314.
ECOOPECOOP-2002-ArnoldR #effectiveness
Thin Guards: A Simple and Effective Technique for Reducing the Penalty of Dynamic Class Loading (MA, BGR), pp. 498–524.
SACSAC-2002-CornoRS #algorithm
An evolutionary algorithm for reducing integrated-circuit test application time (FC, MSR, GS), pp. 608–612.
SACSAC-2002-FujimotoNHN #latency #order
Response order rearrangement on a caching proxy for reducing WWW latency (HF, TN, KH, SN), pp. 845–851.
CCCC-2002-CilioC #using
Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation (AGMC, HC), pp. 247–260.
ISMMISMM-2002-EndoT
Reducing pause time of conservative collectors (TE, KT), pp. 119–131.
DACDAC-2001-RamanujamHKN #embedded #memory management #requirements
Reducing Memory Requirements of Nested Loops for Embedded Systems (JR, JH, MTK, AN), pp. 359–364.
DACDAC-2001-RichPS #design #perspective
Reducing the Frequency Gap Between ASIC and Custom Designs: A Custom Perspective (SER, MJP, JS), pp. 432–437.
DATEDATE-2001-Parameswaran #hardware #performance
Code placement in hardware/software co-synthesis to improve performance and reduce cost (SP), pp. 626–632.
DATEDATE-2001-SamiSSZZ #embedded
Exploiting data forwarding to reduce the power budget of VLIW embedded processors (MS, DS, CS, VZ, RZ), pp. 252–257.
PLDIPLDI-2001-ArnoldR #cost analysis #framework
A Framework for Reducing the Cost of Instrumented Code (MA, BGR), pp. 168–179.
PLDIPLDI-2001-KrintzC #optimisation #using
Using Annotation to Reduce Dynamic Optimization Time (CK, BC), pp. 156–167.
ICALPICALP-2001-Baum-Waidner #contract #multi
Optimistic Asynchronous Multi-party Contract Signing with Reduced Number of Rounds (BBW), pp. 898–911.
FMFME-2001-LaurentMW #simulation #using #verification
Using Formal Verification Techniques to Reduce Simulation and Test Effort (OL, PM, VW), pp. 465–477.
CHICHI-2001-DuhPF #independence #visual notation
An “independent visual background” reduced balance disturbance envoked by visual scene motion: implication for alleviating simulator sickness (HBLD, DEP, TAF), pp. 85–89.
EDOCEDOC-2001-WangC #distributed #enterprise #risk management
A Method to Reduce Risks in Building Distributed Enterprise Systems (GW, GC), pp. 164–168.
ICEISICEIS-v1-2001-Lujan-MoraM #consistency #nondeterminism
Reducing Inconsistency in Data Warehouses (SLM, EM), pp. 199–206.
ICEISICEIS-v2-2001-PerkinsD #architecture #collaboration #transaction
Collaborative Systems Architecture to Reduce Transaction Costs in E-Business (JP, SD), pp. 1155–1161.
ICMLICML-2001-RozsypalK #algorithm #classification #nearest neighbour #search-based #using
Using the Genetic Algorithm to Reduce the Size of a Nearest-Neighbor Classifier and to Select Relevant Attributes (AR, MK), pp. 449–456.
OOPSLAOOPSLA-2001-BrechtALP #execution #garbage collection #java
Controlling Garbage Collection and Heap Growth to Reduce the Execution Time of Java Applications (TB, EA, CL, HP), pp. 353–366.
HPCAHPCA-2001-LinRB #design #memory management
Reducing DRAM Latencies with an Integrated Memory Hierarchy Design (WFL, SKR, DB), pp. 301–312.
HPCAHPCA-2001-MoshovosMFC #energy #named
JETTY: Filtering Snoops for Reduced Energy Consumption in SMP Servers (AM, GM, BF, ANC), pp. 85–96.
HPCAHPCA-2001-YangPFRV #approach #architecture
An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches (SHY, MDP, BF, KR, TNV), pp. 147–157.
HPDCHPDC-2001-KrintzC
Reducing Delay with Dynamic Selection of Compression Formats (CK, BC), p. 266–?.
CAVCAV-2001-SistlaG #model checking #symmetry
Symmetry and Reduced Symmetry in Model Checking (APS, PG), pp. 91–103.
DACDAC-2000-WangN #analysis #linear #multi #order
Extended Krylov subspace method for reduced order analysis of linear circuits with multiple sources (JMW, TVN), pp. 247–252.
DATEDATE-2000-LyseckyVG #latency
Techniques for Reducing Read Latency of Core Bus Wrappers (RLL, FV, TG), pp. 84–91.
DATEDATE-2000-SousaA #clustering #complexity #fault #modelling #using
Reducing the Complexity of Defect Level Modeling Using the Clustering Effect (JTdS, VDA), pp. 640–644.
ICMLICML-2000-AllweinSS #approach #classification #multi
Reducing Multiclass to Binary: A Unifying Approach for Margin Classifiers (ELA, RES, YS), pp. 9–16.
ICPRICPR-v2-2000-ValevSR
Generalized Non-Reducible Descriptors (VV, BS, PR), pp. 2394–2397.
POPLPOPL-2000-ChungMES
Reducing Sweep Time for a Nearly Empty Heap (YCC, SMM, KE, DS), pp. 378–389.
POPLPOPL-2000-SuFA #constraints #graph
Projection Merging: Reducing Redundancies in Inclusion Constraint Graphs (ZS, MF, AA), pp. 81–95.
SACSAC-2000-ShrewsburyN #impact analysis
Reducing the Impact of Software Prefetching on Register Pressure (DWS, CN), pp. 767–773.
CCCC-2000-YuR #parallel #runtime
Techniques for Reducing the Overhead of Run-Time Parallelization (HY, LR), pp. 232–248.
HPCAHPCA-2000-LefurgyPM #runtime
Reducing Code Size with Run-Time Decompression (CL, EP, TNM), pp. 218–228.
HPCAHPCA-2000-PatilE #alias #branch #predict
Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing (HP, JSE), pp. 251–262.
ISMMISMM-2000-Boehm #garbage collection
Reducing Garbage Collector Cache Misses (HJB), pp. 59–64.
LCTESLCTES-2000-ChildersN #memory management #order #power management #transaction
Reordering Memory Bus Transactions for Reduced Power Consumption (BRC, TN), pp. 146–161.
CADECADE-2000-EmersonK #model checking
Reducing Model Checking of the Many to the Few (EAE, VK), pp. 236–254.
ICLPCL-2000-Liang #generative #logic programming #parsing #programming language
A Deterministic Shift-Reduce Parser Generator for a Logic Programming Language (CL), pp. 1315–1329.
RTARTA-2000-Moreau #compilation
REM (Reduce Elan Machine): Core of the New ELAN Compiler (PEM), pp. 265–269.
DACDAC-1999-Freund #algorithm #modelling #simulation
Passive Reduced-Order Models for Interconnect Simulation and Their Computation via Krylov-Subspace Algorithms (RWF), pp. 195–200.
DACDAC-1999-LiWW #approach #equation #generative #modelling #performance
An Efficient Lyapunov Equation-Based Approach for Generating Reduced-Order Models of Interconnect (JRL, FW, JW), pp. 1–6.
DACDAC-1999-YimK #design
Reducing Cross-Coupling Among Interconnect Wires in Deep-Submicron Datapath Design (JSY, CMK), pp. 485–490.
DATEDATE-1999-MurgaiF #on the
On Reducing Transitions Through Data Modifications (RM, MF), p. 82–?.
STOCSTOC-1999-RazRV #fault
Extracting all the Randomness and Reducing the Error in Trevisan’s Extractors (RR, OR, SPV), pp. 149–158.
FLOPSFLOPS-1999-MarinIS #higher-order #lazy evaluation #on the
On Reducing the Search Space of Higher-Order Lazy Narrowing (MM, TI, TS), pp. 319–334.
FMFM-v2-1999-GradelS #state machine
Logspace Reducibility via Abstract State Machines (EG, MS), pp. 1738–1757.
HCIHCI-EI-1999-AarasRH
Can a more neutral position and support of the forearms at the Table top reduce pain for VDU operators. Laboratory and field studies (AA, OR, GH), pp. 51–55.
HCIHCI-EI-1999-VeierstedF
Indoor Foliage Plants Reduce Mucous Membrane and Neuropsychological Discomfort Among Office Workers (KBV, TF), pp. 65–68.
AdaSIGAda-1999-HulseEUV #architecture #maintenance
Reducing maintenance costs through the application of modern software architecture principles (CH, SE, MU, LV), pp. 101–110.
ICEISICEIS-1999-ShinKHJKP #network
Client Cache-Index Forwarding for Reducing Network Traffic over Wireless Network for the WWW (HSS, GHK, SJH, ARJ, GHK, MSP), pp. 717–724.
ICMLICML-1999-ZhouB #algorithm #approach #hybrid #learning #memory management #parametricity #requirements
A Hybrid Lazy-Eager Approach to Reducing the Computation and Memory Requirements of Local Parametric Learning Algorithms (YZ, CEB), p. 503–?.
OOPSLAOOPSLA-1999-KrintzCH #java #using
Reducing Transfer Delay Using Java Class File Splitting and Prefetching (CK, BC, UH), pp. 276–291.
SACSAC-1999-HarwoodS #low cost #network
A Method of Trading Diameter for Reduced Degree to Construct Low Cost Interconnection Networks (AH, HS), pp. 474–480.
ICSEICSE-1999-NishimatsuJKI #performance #slicing
Call-Mark Slicing: An Efficient and Economical Way of Reducing Slice (AN, MJ, SK, KI), pp. 422–431.
HPDCHPDC-1999-FrankeM #visualisation
Reducing Data Distribution Bottlenecks by Employing Data Visualization Filters (EF, MM), pp. 255–262.
LCTESLCTES-1999-CooperSS #algorithm #optimisation #search-based #using
Optimizing for Reduced Code Space using Genetic Algorithms (KDC, PJS, DS), pp. 1–9.
DACDAC-1998-LiuPS #modelling #named #order
ftd: An Exact Frequency to Time Domain Conversion for Reduced Order RLC Interconnect Models (YL, LTP, AJS), pp. 469–472.
DACDAC-1998-MarquesKWS #3d #modelling #performance
A Mixed Nodal-Mesh Formulation for Efficient Extraction and Passive Reduced-Order Modeling of 3D Interconnects (NAM, MK, JW, LMS), pp. 297–302.
DACDAC-1998-ParulkarGB #behaviour
Introducing Redundant Computations in a Behavior for Reducing BIST Resources (IP, SKG, MAB), pp. 548–553.
DACDAC-1998-TiwariSRMPB
Reducing Power in High-Performance Microprocessors (VT, DS, SR, GM, RP, FB), pp. 732–737.
DATEDATE-1998-FreundF #approximate #linear #modelling #multi #scalability #using
Reduced-Order Modeling of Large Linear Passive Multi-Terminal Circuits Using Matrix-Pade Approximation (RWF, PF), pp. 530–537.
DATEDATE-1998-ParulkarGB #scheduling
Scheduling and Module Assignment for Reducing Bist Resources (IP, SKG, MAB), pp. 66–73.
VLDBVLDB-1998-CareyK #distance #query #sql
Reducing the Braking Distance of an SQL Query Engine (MJC, DK), pp. 158–169.
CSMRCSMR-1998-Castelli #database #maintenance
A Strategy for Reducing the Effort for Database Schema Maintenance (DC), pp. 29–36.
ICALPICALP-1998-GrafV #proving
Reducing Simple Polygons to Triangles — A Proof for an Improved Conjecture (TG, KV), pp. 130–139.
ICPRICPR-1998-ValkealahtiO #multi
Reduced multidimensional histograms in color texture description (KV, EO), pp. 1057–1061.
SIGIRSIGIR-1998-VoM
Compressed Inverted Files with Reduced Decoding Overheads (VNA, AM), pp. 290–297.
HPCAHPCA-1998-SpeightB #communication #multi #thread #using
Using Multicast and Multithreading to Reduce Communication in Software DSM Systems (ES, JKB), pp. 312–322.
DACDAC-1997-ChangLMAC #approach #synthesis
A Test Synthesis Approach to Reducing BALLAST DFT Overhead (DC, MTCL, MMS, TA, KTC), pp. 466–471.
DACDAC-1997-ElfadelL #modelling #network
Zeros and Passivity of Arnoldi-Reduced-Order Models for Interconnect Networks (IME, DDL), pp. 28–33.
ITiCSEITiCSE-WGR-1997-Glazunov #education #on the
On mathematical assistant CARANT-REDUCE and its application to computer science education (demonstration) (NMG), p. 150.
STOCSTOC-1997-AgrawalAIPR #complexity #reduction
Reducing the Complexity of Reductions (MA, EA, RI, TP, SR), pp. 730–738.
STOCSTOC-1997-ChenK
Reducing Randomness via Irrational Numbers (ZZC, MYK), pp. 200–209.
HCIHCI-CC-1997-SunJYZ #editing #named #prototype
REDUCE: A Prototypical Cooperative Editing System (CS, XJ, YY, YZ), pp. 89–92.
HCIHCI-SEC-1997-BoussoffaraE #interface #process
Reducing Operator Mental Load Through Dynamic Icons Interfaces and Process Notice (BB, PFE), pp. 391–394.
HCIHCI-SEC-1997-KohtakeYA #communication #process #strict
Reducing Restriction of Activity in Media Communication with Demand Driven Viewer (NK, YY, YA), pp. 189–192.
CIKMCIKM-1997-LeeC
Reducing Match Time Variance in Production Systems with HAL (PyL, AMKC), pp. 309–316.
TOOLSTOOLS-PACIFIC-1997-NayaNYHOA #development #execution #morphism #object-oriented #optimisation #polymorphism
Object-Oriented Development Based on Polymorphism Patterns and Optimization to Reduce Executable Code Size (HN, FN, TY, LH, KO, MA), pp. 68–79.
POPLPOPL-1997-PettorossiPR #logic programming #nondeterminism #source code
Reducing Nondeterminism while Specializing Logic Programs (AP, MP, SR), pp. 414–427.
SACSAC-1997-LiMII #communication
Booking heterogeneous processor resources to reduce communication overhead (DL, AM, YI, NI), pp. 354–360.
HPCAHPCA-1997-DahlgrenL #multi
Reducing the Replacement Overhead in Bus-Based COMA Multiprocessors (FD, AL), pp. 14–23.
HPCAHPCA-1997-DaoYD #architecture #communication #multi #network
Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks (BVD, SY, JD), pp. 343–352.
HPCAHPCA-1997-Sivasubramaniam #communication #memory management #multi
Reducing the Communication Overhead of Dynamic Applications on Shared Memory Multiprocessors (AS), pp. 194–203.
HPCAHPCA-1997-ZhangT
Reducing Remote Conflict Misses: NUMA with Remote Cache versus COMA (ZZ, JT), pp. 272–281.
HPDCHPDC-1997-SudoSS #scheduling #thread
Distributed-Thread Scheduling Methods for Reducing Page-Thrashing (YS, SS, SS), pp. 356–364.
SOSPSOSP-1997-Steere #latency #nondeterminism #set
Exploiting the Non-Determinism and Asynchrony of Set Iterators to Reduce Aggregate File I/O Latency (DCS), pp. 252–263.
LICSLICS-1997-ComonJ
Ground Reducibility is EXPTIME-Complete (HC, FJ), pp. 26–34.
DACDAC-1996-PopescuM #design #verification
Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor (VP, BM), pp. 311–314.
DACDAC-1996-RohfleischKW
Reducing Power Dissipation after Technology Mapping by Structural Transformations (BR, AK, BW), pp. 789–794.
PLDIPLDI-1996-EichenbergerD #constraints #multi #pipes and filters #scheduling
A Reduced Multipipeline Machine Description that Preserves Scheduling Constraints (AEE, ESD), pp. 12–22.
IFLIFL-1996-LoidlH #communication #effectiveness #graph #parallel #reduction
Making a Packet: Cost-Effective Communication for a Parallel Graph Reducer (HWL, KH), pp. 184–199.
ICPRICPR-1996-CallariF #ambiguity #nondeterminism #recognition #using
Active recognition: using uncertainty to reduce ambiguity (FGC, FPF), pp. 925–929.
ICPRICPR-1996-Chen #detection #parallel
The use of hidden deletable pixel detection to obtain bias-reduced skeletons in parallel thinning (YSC), pp. 91–95.
ICPRICPR-1996-ValevR #recognition
Construction of Boolean decision rules for ECG recognition by non-reducible descriptors (VV, PR), pp. 111–115.
POPLPOPL-1996-GuptaS #source code #static analysis
Static Analysis to Reduce Synchronization Costs in Data-Parallel Programs (MG, ES), pp. 322–332.
SACSAC-1996-CorcoranW #algorithm #search-based
Reducing disruption of superior building blocks in genetic algorithms (ALC, RLW), pp. 269–276.
ICSEICSE-1996-MarreB #cost analysis #test coverage
Reducing and Estimating the Cost of Test Coverage Criteria (MM, AB), pp. 486–494.
ASPLOSASPLOS-1996-JamrozikFVEKLV #latency #memory management #network #using
Reducing Network Latency Using Subpages in a Global Memory Environment (HAJ, MJF, GMV, JEI, ARK, HML, MKV), pp. 258–267.
HPCAHPCA-1996-LandinD #multi
Bus-Based COMA — Reducing Traffic in Shared-Bus Multiprocessors (AL, FD), pp. 95–105.
CSLCSL-1996-Merkle #bound
Exact Pairs for Abstract Bounded Reducibilities (WM), pp. 349–368.
DACDAC-1995-FeldmannF #algorithm #linear #modelling #scalability
Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm (PF, RWF), pp. 474–479.
DACDAC-1995-SilveiraKW #3d #modelling #performance
Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances Associated with 3-D Interconnect Structures (LMS, MK, JW), pp. 376–380.
SIGMODSIGMOD-1995-DuSD #multi #query
Reducing Multidatabase Query Response Time by Tree Balancing (WD, MCS, UD), pp. 293–303.
ICSMEICSM-1995-Binkley #cost analysis #semantics #testing
Reducing the cost of regression testing by semantics guided test case selection (DB), p. 251–?.
CIKMCIKM-1995-Bestavros #using
Using Speculation to Reduce Server Load and Service Time on the WWW (AB), pp. 403–410.
ICMLICML-1995-OliveiraS #graph #order
Inferring Reduced Ordered Decision Graphs of Minimum Description Length (ALO, ALSV), pp. 421–429.
HPCAHPCA-1995-LlosaVA
Non-Consistent Dual Register Files to Reduce Register Pressure (JL, MV, EA), pp. 22–31.
HPCAHPCA-1995-QiaoM #communication #latency #multi
Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems (CQ, RGM), pp. 34–43.
PPoPPPPoPP-1995-JeremiassenE #data transformation #memory management #multi
Reducing False Sharing on Shared Memory Multiprocessors through Compile Time Data Transformations (TEJ, SJE), pp. 179–188.
PPoPPPPoPP-1995-Philippsen #array #automation #communication #process
Automatic Alignment of Array Data and Processes to Reduce Communication Time on DMPPs (MP), pp. 156–165.
RTARTA-1995-SchmidF #performance #testing #towards
Towards an Efficient Construction of Test Sets for Deciding Ground Reducability (KS, RF), pp. 86–100.
DATEEDAC-1994-AkitaA #logic #power management #probability
A Method for Reducing Power Consumption of CMOS Logic Based on Signal Transition Probability (JA, KA), pp. 420–424.
DATEEDAC-1994-IkedaA
A Reduced-swing Data Transmission Scheme for Resistive Bus Lines in VSLIs (MI, KA), pp. 546–550.
DATEEDAC-1994-Wang #synthesis #testing
Synthesis of Sequential Machines with Reduced Testing Cost (SJW), pp. 302–306.
PODSPODS-1994-AlonsoAA #constraints #protocol
Reducing Recovery Constraints on Locking based Protocols (GA, DA, AEA), pp. 129–138.
CSEETCSEE-1994-Hartvigsen #education #re-engineering
Reducing the Gap Between Academic Theory and Professional Practive in Software Engineering Education (GH), pp. 263–273.
CHICHI-1994-DouglasM94a
The effect of reducing homing time on the speed of a finger-controlled isometric pointing device (SAD, AKM), pp. 411–416.
ICMLICML-1994-FurnkranzW #fault #incremental
Incremental Reduced Error Pruning (JF, GW), pp. 70–77.
ICMLICML-1994-PazzaniMMAHB #classification
Reducing Misclassification Costs (MJP, CJM, PMM, KMA, TH, CB), pp. 217–225.
OOPSLAOOPSLA-1994-BogleL #using
Reducing Cross Domain Call Overhead using Batched Futures (PB, BL), pp. 341–354.
POPLPOPL-1994-CalderG #c++ #source code
Reducing Indirect Function call Overhead in C++ Programs (BC, DG), pp. 397–408.
POPLPOPL-1994-RamalingamR #algorithm #incremental #maintenance
An Incremental Algorithm for Maintaining the Dominator Tree of a Reducible Flowgraph (GR, TWR), pp. 287–296.
SACSAC-1994-FlachsbartBCH #algorithm #classification #using
Using the ID3 symbolic classification algorithm to reduce data density (BF, WEB, DCSC, JH), pp. 292–296.
SACSAC-1994-KucherovR #on the #problem #term rewriting #word
On ground reducibility problem for word rewriting systems with variables (GK, MR), pp. 271–276.
FSEFSE-1994-VandevoordeG #analysis #composition #runtime #using
Using Specialized Procedures and Specification-Based Analysis to Reduce the Runtime Costs of Modularity (MTV, JVG), pp. 121–127.
ASPLOSASPLOS-1994-CalderG #branch
Reducing Branch Costs via Branch Alignment (BC, DG), pp. 242–251.
ASPLOSASPLOS-1994-SkeppstedtS #algorithm #compilation #protocol
Simple Compiler Algorithms to Reduce Ownership Operhead in Cache Coherence Protocols (JS, PS), pp. 286–296.
CCCC-1994-DuesterwaldGS #clustering #congruence #cost analysis #data flow
Reducing the Cost of Data Flow Analysis By Congruence Partitioning (ED, RG, MLS), pp. 357–373.
HPDCHPDC-1994-WornerGHZ #grid #parallel #performance
Reducing Variations in Parallel Efficiency for Unstructured Grid Computations (BW, UG, MH, RZ), pp. 287–294.
DACDAC-1993-GanapathyA #pseudo
Selective Pseudo Scan: Combinational ATPG with Reduced Scan in a Full Custom RISC Microprocessor (GG, JAA), pp. 550–555.
DACDAC-1993-HuD #dependence #functional
Reducing BDD Size by Exploiting Functional Dependencies (AJH, DLD), pp. 266–271.
ICDARICDAR-1993-Lambert #constraints
A projection reducing the constraint of direction (GL), pp. 557–560.
ICSMECSM-1993-HinleyB #risk management
Reducing the Risks in Software Improvement Through Process-Orientated Management (DSH, KHB), pp. 319–328.
ICALPICALP-1993-JuedesLL
Computational Depth and Reducibility (Extended Abstract) (DWJ, JIL, JHL), pp. 277–288.
HCIHCI-SHI-1993-Kurlander #editing #visual notation
Reducing Repetition in Graphical Editing (DK), pp. 409–414.
CHIINTERCHI-1993-Redmiles #performance #variability
Reducing the variability of programmers’ performance through explained examples (DFR), pp. 67–73.
PPDPPLILP-1993-Kaser #stack
Inlining to Reduce Stack Space (OK), pp. 262–274.
CAVCAV-1993-DamsGG #generative #modelling
Generation of Reduced Models for Checking Fragments of CTL (DD, OG, RG), pp. 479–490.
RTARTA-1993-Bundgen
Reduce the Redex → ReDuX (RB), pp. 446–450.
DACDAC-1992-SantucciDGB #behaviour #generative
A Methodology to Reduce the Computational Cost of Behavioral Test Pattern Generation (JFS, GD, NG, MB), pp. 267–272.
DACDAC-1992-YuS #approach #design
A Path-Oriented Approach for Reducing Hazards in Asynchronous Designs (MLY, PAS), pp. 239–244.
KRKR-1992-Brachman #information management #representation
“Reducing” CLASSIC to Practice: Knowledge Representation Theory Meets Reality (RJB), pp. 247–258.
KRKR-1992-Ryan #representation
Representing Defaults as Sentences with Reduced Priority (MR), pp. 649–660.
ASPLOSASPLOS-1992-ChenB #latency #memory management
Reducing Memory Latency via Non-blocking and Prefetching Caches (TFC, JLB), pp. 51–61.
ISMMIWMM-1992-Rojemo #concurrent #garbage collection #graph #parallel #reduction
A Concurrent Generational Garbage Collector for a Parallel Graph Reducer (NR), pp. 440–453.
DACDAC-1991-MaoC #design #fault
Correlation-Reduced Scan-path Design To Improve Delay Fault Coverage (WM, MDC), pp. 73–79.
STOCSTOC-1991-MenezesVO #finite
Reducing Elliptic Curve Logarithms to Logarithms in a Finite Field (AM, SAV, TO), pp. 80–89.
ICMLML-1991-LingV
Revision of Reduced Theories (XL, MV), pp. 519–523.
ASPLOSASPLOS-1991-KatevenisT #branch #memory management
Reducing the Branch Penalty by Rearranging Instructions in Double-Width Memory (MK, NT), pp. 15–27.
CAVCAV-1991-Mutz #behaviour #correctness #proving #term rewriting #using
Using the HOL Prove Assistant for proving the Correctness of term Rewriting Rules reducing Terms of Sequential Behavior (MM), pp. 277–287.
RTARTA-1991-KrischerB #detection
Detecting Redundant Narrowing Derivations by the LSE-SL Reducability Test (SK, AB), pp. 74–85.
DACDAC-1990-KeutzerMS
Is Redundancy Necessary to Reduce Delay (KK, SM, AS), pp. 228–234.
DACDAC-1990-MalikBNS #logic #multi
Reduced Offsets for Two-Level Multi-Valued Logic Minimization (AAM, RKB, ARN, ALSV), pp. 290–296.
VLDBVLDB-1990-Mohan90a #commit #named #novel #transaction
Commit_LSN: A Novel and Simple Method for Reducing Locking and Latching in Transaction Processing Systems (CM), pp. 406–418.
STOCSTOC-1990-OgiwaraW #bound #on the #polynomial #set
On Polynomial Time Bounded Truth-Table Reducibility of NP Sets to Sparse Sets (MO, OW), pp. 457–467.
ICGTGG-1990-Plump #term rewriting
Graph-Reducible Term Rewriting Systems (DP), pp. 622–636.
ICMLML-1990-Bennett #approximate
Reducing Real-world Failures of Approximate Explanation-based Rules (SWB), pp. 226–234.
CAVCAV-1990-JanickiK #graph #reachability #simulation #using
Using Optimal Simulations to Reduce Reachability Graphs (RJ, MK), pp. 166–175.
CSLCSL-1990-Habart
Randomness and Turing Reducibility Restraints (KH), pp. 234–247.
LICSLICS-1990-Freyd #induction #recursion
Recursive Types Reduced to Inductive Types (PJF), pp. 498–507.
DACDAC-1989-DervisogluK #debugging #named #state of the art #tool support
ATLAS/ELA: Scan-based Software Tools for Reducing System Debug Time in a State-of-the-art Workstation (BID, MAK), pp. 718–721.
PLDIPLDI-1989-Goldberg #distributed
Generational Reference Counting: A Reduced-Communication Distributed Storage Reclamation Scheme (BG), pp. 313–321.
CHICHI-1989-BrownNG #empirical #visual notation
An experiment into the use of auditory cues to reduce visual workload (MLB, SLN, EPG), pp. 339–346.
ICMLML-1989-Martin #learning
Reducing Redundant Learning (JDM), pp. 396–399.
ICMLML-1989-Morris #learning
Reducing Search and Learning Goal Preferences (SM), pp. 46–48.
SOSPSOSP-1989-LomasGSN #risk management
Reducing Risks from Poorly Chosen Keys (TMAL, LG, JHS, RMN), pp. 14–18.
CSLCSL-1989-Mundici
Reducibility of Monotone Formulas to μ-Formulas (DM), pp. 267–270.
ICLPNACLP-1989-RamkumarK #execution #multi #process
Compiled Execution of the Reduce-OR Process Model on Multiprocessors (BR, LVK), pp. 313–331.
RTARTA-1989-BundgenK
Computing Ground Reducability and Inductively Complete Positions (RB, WK), pp. 59–75.
RTARTA-1989-Snyder #algorithm #equation #generative #performance #set
Efficient Ground Completion: An O(n log n) Algorithm for Generating Reduced Sets of Ground Rewrite Rules Equivalent to a Set of Ground Equations E (WS), pp. 419–433.
ISSTATAV-1989-YatesM #branch #testing
Reducing the Effects of Infeasible Paths in Branch Testing (DFY, NM), pp. 48–54.
DACDAC-1988-HenkelG #layout #named #set #verification
RISCE — A Reduced Instruction Set Circuit Extractor for Hierarchical VLSI Layout Verification (VH, UG), pp. 465–470.
DACDAC-1988-KumarS #architecture #array #parallel
Parallel Placement on Reduced Array Architecture (CPR, SS), pp. 121–127.
PODSPODS-1988-ChanH #database
Independence-reducible Database Schemes (EPFC, HJH), pp. 163–173.
VLDBVLDB-1988-AgrawalA #algorithm
Reducing Storage for Quorum Consensus Algorithms (DA, AEA), pp. 419–430.
CSEETSEI-1988-Lamb #re-engineering #student
Reducing Student Workload in a Software Engineering Poject Course (DAL), pp. 145–153.
PPDPALP-1988-Kucherov #algorithm #induction #proving #testing
A New Quasi-Reducibility Testing Algorithm and its Application to Proofs by Induction (GK), pp. 204–213.
CSLCSL-1988-Ambos-SpiesK #on the #self
On Disjunctive Self-Reducibility (KAS, JK), pp. 1–13.
ICLPICLP-1987-Kale87 #evaluation #logic programming #parallel #process #source code
The REDUCE-OR Process Model for Parallel Evaluation of Logic Programs (LVK), pp. 616–632.
RTARTA-1987-MadlenerO #finite #string #term rewriting
Groups Presented by Certain Classes of Finite Length-Reducing String-Rewriting Systems (KM, FO), pp. 133–144.
ESOPESOP-1986-Bohm #algebra #recursion
Reducing Recursion to Iteration by Algebraic Extension (CB), pp. 111–118.
LISPLFP-1986-SteenkisteH #lisp
LISP on a Reduced-Instruction-Set-Processor (PS, JLH), pp. 192–201.
LISPLFP-1984-Bellegarde #sequence #term rewriting
Rewriting Systems on FP Expressions that Reduce the Number of Sequences They Yield (FB), pp. 63–73.
LISPLFP-1984-Brooks #garbage collection #hardware #realtime
Trading Data Space for Reduced Time and Code Space in Real-Time Garbage Collection on Stock Hardware (RAB), pp. 256–262.
LISPLFP-1984-Katayama #approach #functional #programming language #type checking #type inference
Type Inference and Type Checking for Functional Programming Languages: A Reduced Computation Approach (TK), pp. 263–272.
ICLPSLP-1984-Tamaki84 #logic programming #programming language #semantics
Semantics of a Logic Programming Language with a Reducibility Predicate (HT), pp. 259–264.
DACDAC-1983-Supowit #layout #standard
Reducing channel density in standard cell layout (KJS), pp. 263–269.
STOCSTOC-1983-Young #polynomial #set
Some Structural Properties of Polynomial Reducibilities and Sets in NP (PY), pp. 392–401.
DACDAC-1982-Saluja #fault #generative
An enhancement of lssd to reduce test pattern generation effort and increase fault coverage (KKS), pp. 489–494.
SIGMODSIGMOD-1982-DongH #approximate #dependence #order
Applying Approximate Order Dependency to Reduce Indexing Space (JD, RH), pp. 119–127.
VLDBVLDB-1981-Karlsson
Reduced Cover-Trees and their Application in the Sabre Access Path Model (KK), pp. 345–353.
ICALPICALP-1980-AstesianoC
Languages with Reducing Reflective Types (EA, GC), pp. 38–50.
STOCSTOC-1979-Long #on the #polynomial
On γ-Reducibility versus Polynomial Time Many-One Reducibility (Extended Abstract) (TJL), pp. 278–287.
STOCSTOC-1979-Peterson #modelling #parallel #trade-off
Time-Space Trade-Offs for Asynchronous Parallel Models: Reducibilities and Equivalences (GLP), pp. 224–230.
ICALPICALP-1979-Selman #behaviour #polynomial #set
P-Selective Sets, Tally Languages, and the Behavior of Polynomial Time Reducibilities on NP (ALS), pp. 546–555.
STOCSTOC-1977-AdlemanM
Reducibility, Randomness, and Intractability (Abstract) (LMA, KLM), pp. 151–163.
STOCSTOC-1977-LynchB #performance #programming
Efficient Reducibility Between Programming Systems: Preliminary Report (NAL, EKB), pp. 228–238.
STOCSTOC-1977-SimonG #polynomial
Polynomial Reducibilities and Upward Diagonalizations (IS, JG), pp. 186–194.
ICALPICALP-1976-Schnorr #algorithm #problem #self
Optimal Algorithms for Self-Reducible Problems (CPS), pp. 322–337.
STOCSTOC-1975-AhoU #graph
Node Listings for Reducible Flow Graphs (AVA, JDU), pp. 177–185.
STOCSTOC-1974-LadnerLS #polynomial
Comparisons of Polynomial-Time Reducibilities (REL, NAL, ALS), pp. 110–121.
ICALPICALP-1974-Novotny
Operators Reducing Generalized OL-Systems (MN), pp. 481–494.
STOCSTOC-1973-Ladner #polynomial
Polynomial Time Reducibility (REL), pp. 122–129.
STOCSTOC-1973-Tarjan #graph #testing
Testing Flow Graph Reducibility (RET), pp. 96–107.
SOSPSOSP-1973-BrunoCS #independence #scheduling
Scheduling Independent Tasks to Reduce Mean Finishing Time (Extended Abstract) (JLB, EGCJ, RS), pp. 102–103.
STOCSTOC-1972-HechtU #graph
Flow Graph Reducibility (MSH, JDU), pp. 238–250.
STOCSTOC-1970-Morris #precedence
A Result on the Relationship between Simple Precedence Languages and Reducing Transition Languages (JBM), pp. 73–80.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.