BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
system (99)
data (83)
base (77)
perform (76)
effici (62)

Stem cach$ (all stems)

764 papers:

CASECASE-2015-WuDZW #detection
Kalman filter based DNS cache poisoning attack detection (HW, XD, LZ, LW), pp. 1594–1600.
DACDAC-2015-IsmailLS #performance #worst-case
Improving worst-case cache performance through selective bypassing and register-indexed cache (MI, DL, GES), p. 6.
DACDAC-2015-KoJKLS #design #guidelines
Guidelines to design parity protected write-back L1 data cache (YK, RJ, YK, KL, AS), p. 6.
DACDAC-2015-MaoHCL #named
VWS: a versatile warp scheduler for exploring diverse cache localities of GPGPU applications (MM, JH, YC, HL), p. 6.
DACDAC-2015-MilutinovicQAC #estimation #named #performance
PACO: fast average-performance estimation for time-randomized caches (SM, EQ, JA, FJC), p. 6.
DATEDATE-2015-DoumaAP #estimation #execution #performance #precise
Fast and precise cache performance estimation for out-of-order execution (RD, SA, ADP), pp. 1132–1137.
DATEDATE-2015-KomalanTPFC
System level exploration of a STT-MRAM based level 1 data-cache (MPK, CT, JIGP, FTF, FC), pp. 1311–1316.
DATEDATE-2015-LeeLMHP #monitoring #named #security
Extrax: security extension to extract cache resident information for snoop-based external monitors (JL, YL, HM, IH, YP), pp. 151–156.
DATEDATE-2015-MavropoulosKN #architecture #configuration management
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
DATEDATE-2015-PorembaMLVX #3d #modelling #named
DESTINY: a tool for modeling emerging 3D NVM and eDRAM caches (MP, SM, DL, JSV, YX), pp. 1543–1546.
DATEDATE-2015-YanF #design #energy #mobile #optimisation
Energy-efficient cache design in emerging mobile platforms: the implications and optimizations (KY, XF), pp. 375–380.
DATEDATE-2015-YaoWGMCZ #architecture #manycore #named
SelectDirectory: a selective directory for cache coherence in many-core architectures (YY, GW, ZG, TM, WC, NZ), pp. 175–180.
DATEDATE-2015-YinLLWG15a #policy
Cooperatively managing dynamic writeback and insertion policies in a last-level DRAM cache (SY, JL, LL, SW, YG), pp. 187–192.
SIGMODSIGMOD-2015-0002SLLF #sorting
Cache-Efficient Aggregation: Hashing Is Sorting (IM, PS, AL, WL, FF), pp. 1123–1136.
SIGMODSIGMOD-2015-PapailiouTKK #adaptation #query
Graph-Aware, Workload-Adaptive SPARQL Query Caching (NP, DT, PK, NK), pp. 1777–1792.
VLDBVLDB-2015-InoueT #algorithm #array #sorting
SIMD- and Cache-Friendly Algorithm for Sorting an Array of Structures (HI, KT), pp. 1274–1285.
VLDBVLDB-2015-VattaniCL #probability
Optimal Probabilistic Cache Stampede Prevention (AV, FC, KL), pp. 886–897.
TACASTACAS-2015-KriouileS #formal method #using #verification
Using a Formal Model to Improve Verification of a Cache-Coherent System-on-Chip (AK, WS), pp. 708–722.
ECIRECIR-2015-ProkhorenkovaUS #adaptation #web
Adaptive Caching of Fresh Web Search Results (LOP, YU, ES, DL, PS), pp. 110–122.
SIGIRSIGIR-2015-BakkalAT #cost analysis
Cost-Aware Result Caching for Meta-Search Engines (EB, ISA, IHT), pp. 739–742.
SIGIRSIGIR-2015-ZhangSTSWL
Compact Snippet Caching for Flash-based Search Engines (RZ, PS, JT, RJS, GW, XL), pp. 1015–1018.
SACSAC-2015-InacioDBNM #file system #operating system #parallel #parametricity #performance
Performance impact of operating systems’ caching parameters on parallel file systems (ECI, MARD, FZB, POAN, DDJdM), pp. 2066–2068.
SACSAC-2015-KapoorDC #capacity #energy #performance #reduction
Static energy reduction by performance linked cache capacity management in tiled CMPs (HKK, SD, SC), pp. 1913–1918.
SACSAC-2015-LeeOL #enterprise #problem
SSD caching to overcome small write problem of disk-based RAID in enterprise environments (EL, YO, DL), pp. 2047–2053.
SACSAC-2015-LeeRH #file system #memory management #performance
Performance implications of cache flushes for non-volatile memory file systems (KL, SR, HH), pp. 2069–2071.
SACSAC-2015-Oikawa #adaptation #memory management
Exposing non-volatile memory cache for adaptive storage access (SO), pp. 2021–2026.
SACSAC-2015-TodaT #performance #satisfiability
BDD construction for all solutions SAT and efficient caching mechanism (TT, KT), pp. 1880–1886.
ICSEICSE-v2-2015-FranksTDH #modelling #named
CACHECA: A Cache Language Model Based Code Suggestion Tool (CF, ZT, PTD, VH), pp. 705–708.
HPCAHPCA-2015-BeckmannS #named #performance
Talus: A simple way to remove cliffs in cache performance (NB, DS), pp. 64–75.
HPCAHPCA-2015-BeckmannTS #distributed #scalability
Scaling distributed cache hierarchies through computation and data co-scheduling (NB, PAT, DS), pp. 538–550.
HPCAHPCA-2015-JaleelNMSE #latency
High performing cache hierarchies for server workloads: Relaxing inclusion to capture the latency benefits of exclusive caches (AJ, JN, AM, SCSJ, JSE), pp. 343–353.
HPCAHPCA-2015-LiRJOEBFR #throughput
Priority-based cache allocation in throughput processors (DL, MR, DRJ, MO, ME, DB, DSF, SWR), pp. 89–100.
HPCAHPCA-2015-RosDK #classification #clustering #performance
Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies (AR, MD, SK), pp. 186–197.
HPCAHPCA-2015-SonLSKKA #architecture #named
CiDRA: A cache-inspired DRAM resilience architecture (YHS, SL, OS, SK, NSK, JHA), pp. 502–513.
HPCAHPCA-2015-XieLWSW #coordination
Coordinated static and dynamic cache bypassing for GPUs (XX, YL, YW, GS, TW), pp. 76–88.
HPDCHPDC-2015-RamosH #optimisation
Cache Line Aware Optimizations for ccNUMA Systems (SR, TH), pp. 85–88.
ISMMISMM-2015-ShidalSSCK
Recycling trash in cache (JAS, AJS, PTS, RKC, KMK), pp. 118–130.
LCTESLCTES-2015-ZhangK #abstract interpretation #analysis #persistent #precise
Improving the Precision of Abstract Interpretation Based Cache Persistence Analysis (ZZ, XDK), p. 10.
LCTESLCTES-2015-ZhengW
WCET-Aware Dynamic D-cache Locking for A Single Task (WZ, HW), p. 10.
PPoPPPPoPP-2015-AlSaberK #multi #performance #semantics
SemCache++: semantics-aware caching for efficient multi-GPU offloading (NA, MK), pp. 255–256.
PPoPPPPoPP-2015-TangYKTGC #algorithm #parallel #programming #recursion
Cache-oblivious wavefront: improving parallelism of recursive dynamic programming algorithms without losing cache-efficiency (YT, RY, HK, JJT, PG, RAC), pp. 205–214.
SOSPSOSP-2015-TsaiZRJZP #file system #how
How to get more value from your file system directory cache (CcT, YZ, JR, YJ, TZ, DEP), pp. 441–456.
CAVCAV-2015-LeinoW #fine-grained #verification
Fine-Grained Caching of Verification Results (KRML, VW), pp. 380–397.
ICLPICLP-J-2015-StulovaMH #runtime
Practical run-time checking via unobtrusive property caching (NS, JFM, MVH), pp. 726–741.
ICSTSAT-2015-BurchardSB #parallel #satisfiability
Laissez-Faire Caching for Parallel #SAT Solving (JB, TS, BB), pp. 46–61.
TAPTAP-2015-BloemHRS #automation #case study #generative #implementation #testing
Case Study: Automatic Test Case Generation for a Secure Cache Implementation (RB, DMH, FR, RS), pp. 58–75.
VMCAIVMCAI-2015-NagarS #analysis #using
Path Sensitive Cache Analysis Using Cache Miss Paths (KN, YNS), pp. 43–60.
DACDAC-2014-CuiCBC #optimisation #set
A Swap-based Cache Set Index Scheme to Leverage both Superpage and Page Coloring Optimizations (ZC, LC, YB, MC), p. 6.
DACDAC-2014-GottschoBDNG #capacity #energy #fault tolerance #scalability
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches (MG, AB, ND, AN, PG), p. 6.
DACDAC-2014-HameedBH #architecture #latency #novel
Reducing Latency in an SRAM/DRAM Cache Hierarchy via a Novel Tag-Cache Architecture (FH, LB, JH), p. 6.
DACDAC-2014-KozhikkottuPPDR #clustering #parallel #source code #thread
Variation Aware Cache Partitioning for Multithreaded Programs (VJK, AP, VSP, SD, AR), p. 6.
DACDAC-2014-LiuCYLHL #fault #locality #named
EC-Cache: Exploiting Error Locality to Optimize LDPC in NAND Flash-Based SSDs (RSL, MYC, CLY, CHL, KCH, HPL), p. 6.
DACDAC-2014-SamavatianAAS #architecture #performance
An Efficient STT-RAM Last Level Cache Architecture for GPUs (MHS, HA, MA, HSA), p. 6.
DACDAC-2014-SchneiderPP #agile #analysis #multi
MASH{fifo}: A Hardware-Based Multiple Cache Simulator for Rapid FIFO Cache Analysis (JS, JP, SP), p. 6.
DACDAC-2014-SlijepcevicKAQC #manycore #realtime
Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems (MS, LK, JA, EQ, FJC), p. 6.
DACDAC-2014-TsaiCYYHCCC #energy #using
Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store Elimination (HJT, CCC, KHY, TCY, LYH, CHC, MFC, TFC), p. 6.
DATEDATE-2014-BardizbanyanSWL #data flow #dependence #detection #energy
Reducing set-associative L1 data cache energy by early load data dependence detection (ELD3) (AB, MS, DBW, PLE), pp. 1–4.
DATEDATE-2014-DamodaranWH #distributed #multi
Distributed cooperative shared last-level caching in tiled multiprocessor system on chip (PPD, SW, AH), pp. 1–4.
DATEDATE-2014-DingLM
WCET-Centric dynamic instruction cache locking (HD, YL, TM), pp. 1–6.
DATEDATE-2014-DuanW
Exploiting narrow-width values for improving non-volatile cache lifetime (GD, SW), pp. 1–4.
DATEDATE-2014-FarbehM #architecture #fault tolerance #low cost #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-JaksicC #energy #how #protocol
DRAM-based coherent caches and how to take advantage of the coherence protocol to reduce the refresh energy (ZJ, RC), pp. 1–4.
DATEDATE-2014-KeramidasMKN #predict
Spatial pattern prediction based management of faulty data caches (GK, MM, AK, DN), pp. 1–6.
DATEDATE-2014-KomalanPTRHC
Feasibility exploration of NVM based I-cache through MSHR enhancements (MK, JIGP, CT, PR, MH, FC), pp. 1–6.
DATEDATE-2014-LoiB #multi
A multi banked — Multi ported — Non blocking shared L2 cache for MPSoC platforms (IL, LB), pp. 1–6.
DATEDATE-2014-MahmoodPM #performance #reduction #using
Cache aging reduction with improved performance using dynamically re-sizable cache (HM, MP, EM), pp. 1–6.
DATEDATE-2014-NawinneSJP #performance
Hardware-based fast exploration of cache hierarchies in application specific MPSoCs (IN, JS, HJ, SP), pp. 1–6.
SIGMODSIGMOD-2014-KangLMKO #database #memory management #relational
Durable write cache in flash memory SSD for relational and NoSQL databases (WHK, SWL, BM, YSK, MO), pp. 529–540.
VLDBVLDB-2015-HeZH14 #architecture #cpu #gpu #query
In-Cache Query Co-Processing on Coupled CPU-GPU Architectures (JH, SZ, BH), pp. 329–340.
ICEISICEIS-v1-2014-HayashiK #evaluation
Evaluation of Exclusive Data Allocation Between SSD Tier and SSD Cache in Storage Systems (SH, NK), pp. 144–151.
SIGIRSIGIR-2014-MaLWSBWW #named #policy #query #web
PAAP: prefetch-aware admission policies for query results cache in web search engines (HM, WL, BW, LS, XB, LW, BW), pp. 983–986.
SIGIRSIGIR-2014-TangJY #optimisation #ranking #runtime
Cache-conscious runtime optimization for ranking ensembles (XT, XJ, TY), pp. 1123–1126.
SACSAC-2014-ChangHL #adaptation #clustering #optimisation #using
Optimizing FTL mapping cache for random-write workloads using adaptive block partitioning (LPC, SMH, WPL), pp. 1504–1510.
SACSAC-2014-SahuR #clustering #runtime
Creating heterogeneity at run time by dynamic cache and bandwidth partitioning schemes (AS, SR), pp. 872–879.
SACSAC-2014-YooLB #memory management #policy
The least-dirty-first cache replacement policy for phase-change memory (SY, EL, HB), pp. 1449–1454.
ICSEICSE-2014-JiangGXML #concurrent #java #named #source code
CARE: cache guided deterministic replay for concurrent Java programs (YJ, TG, CX, XM, JL), pp. 457–467.
ASPLOSASPLOS-2014-KastureS #named #performance #strict
Ubik: efficient cache sharing with strict qos for latency-critical workloads (HK, DS), pp. 729–742.
ASPLOSASPLOS-2014-KwonKP #multi
Locality-oblivious cache organization leveraging single-cycle multi-hop NoCs (WCK, TK, LSP), pp. 715–728.
HPCAHPCA-2014-AhnYC #architecture #named #predict
DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture (JA, SY, KC), pp. 25–36.
HPCAHPCA-2014-ElverN #consistency #named
TSO-CC: Consistency directed cache coherence for TSO (ME, VN), pp. 165–176.
HPCAHPCA-2014-HeirmanCCHJE #architecture #clustering #thread
Undersubscribed threading on clustered cache architectures (WH, TEC, KVC, IH, AJ, LE), pp. 678–689.
HPCAHPCA-2014-KhanAWMJ #clustering #performance #using
Improving cache performance using read-write partitioning (SMK, ARA, CW, OM, DAJ), pp. 452–463.
HPCAHPCA-2014-KurianDK #replication
Locality-aware data replication in the Last-Level Cache (GK, SD, OK), pp. 1–12.
HPCAHPCA-2014-NugterenBCB #distance #gpu #modelling #reuse
A detailed GPU cache model based on reuse distance theory (CN, GJvdB, HC, HEB), pp. 37–48.
HPCAHPCA-2014-WangJXSX #adaptation #hybrid #migration #policy
Adaptive placement and migration policy for an STT-RAM-based hybrid cache (ZW, DAJ, CX, GS, YX), pp. 13–24.
HPDCHPDC-2014-MittalVL #embedded #energy #performance
Improving energy efficiency of embedded DRAM caches for high-end computing systems (SM, JSV, DL), pp. 99–110.
ISMMISMM-2014-ZakkakP #architecture #java #memory management #named
JDMM: a java memory model for non-cache-coherent memory architectures (FSZ, PP), pp. 83–92.
LCTESLCTES-2014-BallabrigaCR #analysis
Cache-related preemption delay analysis for FIFO caches (CB, LKC, AR), pp. 33–42.
LCTESLCTES-2014-ZhengW #named
WCET: aware dynamic instruction cache locking (WZ, HW), pp. 53–62.
PPoPPPPoPP-2014-HerlihyL #locality
Well-structured futures and cache locality (MH, ZL), pp. 155–166.
IJCARIJCAR-2014-GoreTW #logic #proving #theorem proving #using
A History-Based Theorem Prover for Intuitionistic Propositional Logic Using Global Caching: IntHistGC System Description (RG, JT, JW), pp. 262–268.
DACDAC-2013-AndalamGSRR #analysis #precise
Precise timing analysis for direct-mapped caches (SA, AG, RS, PSR, JR), p. 10.
DACDAC-2013-DingLM #analysis #multi #realtime
Integrated instruction cache analysis and locking in multitasking real-time systems (HD, YL, TM), p. 10.
DACDAC-2013-LiuYLC #named #using
DuraCache: a durable SSD cache using MLC NAND flash (RSL, CLY, CHL, GYC), p. 6.
DACDAC-2013-MaricAV #adaptation #energy #hybrid #named #predict #reliability
APPLE: adaptive performance-predictable low-energy caches for reliable hybrid voltage operation (BM, JA, MV), p. 8.
DACDAC-2013-MinJP #energy #named #optimisation #reduction
XDRA: exploration and optimization of last-level cache for energy reduction in DDR DRAMs (SMM, HJ, SP), p. 10.
DACDAC-2013-WuergesOS #energy #performance #realtime
Reconciling real-time guarantees and energy efficiency through unlocked-cache prefetching (EW, RSdO, LCVdS), p. 9.
DATEDATE-2013-AmpaduZS #energy #fault tolerance #manycore
Breaking the energy barrier in fault-tolerant caches for multicore systems (PA, MZ, VS), pp. 731–736.
DATEDATE-2013-BoettcherGAK #energy #multi #named
MALEC: a multiple access low energy cache (MB, GG, BMAH, DK), pp. 368–373.
DATEDATE-2013-ChandranSP #validation
Space sensitive cache dumping for post-silicon validation (SC, SRS, PRP), pp. 497–502.
DATEDATE-2013-El-NacouziAPZJM #detection #scalability
A dual grain hit-miss detector for large die-stacked DRAM caches (MEN, IA, MP, JZ, NDEJ, AM), pp. 89–92.
DATEDATE-2013-ElbayoumiHE #concurrent #diagrams #manycore #novel
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms (ME, MSH, MYE), pp. 1427–1430.
DATEDATE-2013-GuanYL0 #analysis #approach #estimation
FIFO cache analysis for WCET estimation: a quantitative approach (NG, XY, ML, WY), pp. 296–301.
DATEDATE-2013-HameedBH #adaptation #multi
Adaptive cache management for a combined SRAM and DRAM cache hierarchy for multi-cores (FH, LB, JH), pp. 77–82.
DATEDATE-2013-HongK #architecture #named
AVICA: an access-time variation insensitive L1 cache architecture (SH, SK), pp. 65–70.
DATEDATE-2013-KosmidisAQC #design #realtime
A cache design for probabilistically analysable real-time systems (LK, JA, EQ, FJC), pp. 513–518.
DATEDATE-2013-KosmidisCQABC #analysis #design #probability
Probabilistic timing analysis on conventional cache designs (LK, CC, EQ, JA, EDB, FJC), pp. 603–606.
DATEDATE-2013-LiSLXCX #adaptation
Cache coherence enabled adaptive refresh for volatile STT-RAM (JL, LS, QL, CJX, YC, YX), pp. 1247–1250.
DATEDATE-2013-LorenteVSPCLD #power management #ram
Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes (VL, AV, JS, SP, RC, PL, JD), pp. 83–88.
DATEDATE-2013-LuMS #embedded #performance #simulation
Fast cache simulation for host-compiled simulation of embedded software (KL, DMG, US), pp. 637–642.
DATEDATE-2013-MaricAV #architecture #hybrid #performance #reliability #using
Efficient cache architectures for reliable hybrid voltage operation using EDC codes (BM, JA, MV), pp. 917–920.
DATEDATE-2013-NoguchiNAFAKNMN #energy #hybrid #memory management #performance
D-MRAM cache: enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory (HN, KN, KA, SF, EA, KK, TN, SM, HN), pp. 1813–1818.
DATEDATE-2013-PouyanAMR #adaptation #configuration management #design #implementation
Design and implementation of an adaptive proactive reconfiguration technique for SRAM caches (PP, EA, FM, AR), pp. 1303–1306.
DATEDATE-2013-Rodriguez-RodriguezCCPT #memory management #performance #policy #using
Reducing writes in phase-change memory environments by using efficient cache replacement policies (RRR, FC, DC, LP, FT), pp. 93–96.
DATEDATE-2013-VenkatesanSRR #energy #named #performance #using
DWM-TAPESTRI — an energy efficient all-spin cache using domain wall shift based writes (RV, MS, KR, AR), pp. 1825–1830.
DATEDATE-2013-WangDX #named #policy
OAP: an obstruction-aware cache management policy for STT-RAM last-level caches (JW, XD, YX), pp. 847–852.
DATEDATE-2013-WangH #embedded #modelling #performance #simulation
Fast and accurate cache modeling in source-level simulation of embedded software (ZW, JH), pp. 587–592.
VLDBVLDB-2013-DeBrabantPTSZ #approach #architecture #database #named
Anti-Caching: A New Approach to Database Management System Architecture (JD, AP, ST, MS, SBZ), pp. 1942–1953.
VLDBVLDB-2013-LevandoskiLS #hardware #named
LLAMA: A Cache/Storage Subsystem for Modern Hardware (JJL, DBL, SS), pp. 877–888.
CIKMCIKM-2013-BaiJS #online #social
Cache refreshing for online social news feeds (XB, FPJ, AS), pp. 787–792.
CIKMCIKM-2013-PalBP #named #query
RCached-tree: an index structure for efficiently answering popular queries (MP, AB, DP), pp. 1173–1176.
CIKMCIKM-2013-TongWL #web
Latency-aware strategy for static list caching in flash-based web search engines (JT, GW, XL), pp. 1209–1212.
SIGIRSIGIR-2013-AlabduljalilTY #optimisation #performance #similarity
Cache-conscious performance optimization for similarity search (MA, XT, TY), pp. 713–722.
SIGIRSIGIR-2013-SazogluCOAU #metric
A financial cost metric for result caching (FBS, BBC, RO, ISA, ÖU), pp. 873–876.
SIGIRSIGIR-2013-WangLYTWL
The impact of solid state drive on search engine cache management (JW, EL, MLY, JT, GW, XL), pp. 693–702.
POPLPOPL-2013-BlellochH #algorithm #functional
Cache and I/O efficent functional algorithms (GEB, RH), pp. 39–50.
SACSAC-2013-JiaBJPM #algorithm #multi
A multi-resource load balancing algorithm for cloud cache systems (YJ, IB, RJP, MPM, DM), pp. 463–470.
CGOCGO-2013-BaoD
Defensive loop tiling for shared cache (BB, CD), p. 11.
HPCAHPCA-2013-AgrawalJAT #multi #named
Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies (AA, PJ, AA, JT), pp. 400–411.
HPCAHPCA-2013-BaekLNLK #capacity #effectiveness #named
ECM: Effective Capacity Maximizer for high-performance compressed caching (SB, HGL, CN, JL, JK), pp. 131–142.
HPCAHPCA-2013-ChangRLJ #comparison #energy #scalability
Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM (MTC, PR, SLL, BJ), pp. 143–154.
HPCAHPCA-2013-KhanAWKJ #architecture #manycore #performance #using
Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
HPCAHPCA-2013-MahmoodKH #adaptation #architecture #named #scalability
Macho: A failure model-oriented adaptive cache architecture to enable near-threshold voltage scaling (TM, SK, SH), pp. 532–541.
HPCAHPCA-2013-SandbergSHB #modelling #performance
Modeling performance variation due to cache sharing (AS, AS, EH, DBS), pp. 155–166.
HPCAHPCA-2013-SinghSFOA #architecture #gpu
Cache coherence for GPU architectures (IS, AS, WWLF, MO, TMA), pp. 578–590.
HPCAHPCA-2013-WangDXJ #named
i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations (JW, XD, YX, NPJ), pp. 234–245.
HPCAHPCA-2013-ZebchukCTSM #named
RECAP: A region-based cure for the common cold (cache) (JZ, HWC, XT, VS, AM), pp. 83–94.
HPDCHPDC-2013-RamosH #case study #communication #modelling
Modeling communication in cache-coherent SMP systems: a case-study with Xeon Phi (SR, TH), pp. 97–108.
ISMMISMM-2013-BrockGBD #named
Pacman: program-assisted cache management (JB, XG, BB, CD), pp. 39–50.
SOSPSOSP-2013-HuangBRLKL #analysis #facebook
An analysis of Facebook photo caching (QH, KB, RvR, WL, SK, HCL), pp. 167–181.
CAVCAV-2013-LvSX #named
CacBDD: A BDD Package with Dynamic Cache Management (GL, KS, YX), pp. 229–234.
DACDAC-2012-DingLM
WCET-centric partial instruction cache locking (HD, YL, TM), pp. 412–420.
DACDAC-2012-JiangZZY #embedded #multi #performance #scalability
Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors (LJ, BZ, YZ, JY), pp. 907–912.
DACDAC-2012-JogMXXNID #architecture #performance
Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs (AJ, AKM, CX, YX, VN, RI, CRD), pp. 243–252.
DACDAC-2012-ParkGMRR #architecture #design #energy #performance #using
Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture (SPP, SKG, NNM, AR, KR), pp. 492–497.
DACDAC-2012-SharifiSKI #capacity
Courteous cache sharing: being nice to others in capacity management (AS, SS, MTK, MJI), pp. 678–687.
DACDAC-2012-WangDX #architecture
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches (JW, XD, YX), pp. 253–258.
DACDAC-2012-ZhaoJDZKI #design #hybrid #multi #optimisation
A hybrid NoC design for cache coherence optimization for chip multiprocessors (HZ, OJ, WD, YZ, MTK, MJI), pp. 834–842.
DATEDATE-2012-AisoposMIIN #named #probability
PCASA: Probabilistic control-adjusted Selective Allocation for shared caches (KA, JM, RI, RI, DN), pp. 473–478.
DATEDATE-2012-ChenCHLLPR #configuration management #design #energy #hybrid
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design (YTC, JC, HH, BL, CL, MP, GR), pp. 45–50.
DATEDATE-2012-HameedBH #adaptation #architecture #manycore #runtime
Dynamic cache management in multi-core architectures through run-time adaptation (FH, LB, JH), pp. 485–490.
DATEDATE-2012-HsuingCG
Salvaging chips with caches beyond repair (HH, BC, SKG), pp. 1263–1268.
DATEDATE-2012-QinM #automation #generative #protocol #testing
Automated generation of directed tests for transition coverage in cache coherence protocols (XQ, PM), pp. 3–8.
DATEDATE-2012-StattelmannGCBR #hybrid #modelling #simulation #using
Hybrid source-level simulation of data caches using abstract cache models (SS, GG, CC, OB, WR), pp. 376–381.
DATEDATE-2012-WangRR #energy #runtime
Run-time power-gating in caches of GPUs for leakage energy savings (YW, SR, NR), pp. 300–303.
HTHT-2012-HartigH #linked data #modelling #open data #predict #query
Query prediction with context models for populating personal linked data caches (OH, TH), pp. 325–326.
SIGMODSIGMOD-2012-OhKKL #sorting
Reducing cache misses in hash join probing phase by pre-sorting strategy (abstract only) (GHO, JMK, WHK, SWL), p. 864.
SIGMODSIGMOD-2012-ThomsenYJ #effectiveness
Effective caching of shortest paths for location-based services (JRT, MLY, CSJ), pp. 313–324.
VLDBVLDB-2012-BenderFJKKMMSSZ #how
Don’t Thrash: How to Cache Your Hash on Flash (MAB, MFC, RJ, RK, BCK, DM, PM, PS, RPS, EZ), pp. 1627–1637.
VLDBVLDB-2012-KangLM #performance #throughput
Flash-based Extended Cache for Higher Throughput and Faster Recovery (WHK, SWL, BM), pp. 1615–1626.
LATALATA-2012-BilleS #dependence #performance #programming
Fast and Cache-Oblivious Dynamic Programming with Local Dependencies (PB, MS), pp. 131–142.
ECIRECIR-2012-AliciAOCU #adaptation #query #web
Adaptive Time-to-Live Strategies for Query Result Caching in Web Search Engines (SA, ISA, RO, BBC, ÖU), pp. 401–412.
ECIRECIR-2012-Baeza-YatesJ #modelling #web
Modeling Static Caching in Web Search Engines (RABY, SJ), pp. 436–446.
KDDKDD-2012-MatsushimaVS #linear
Linear support vector machines via dual cached loops (SM, SVNV, AJS), pp. 177–185.
SIGIRSIGIR-2012-BaiJ #online #realtime #web
Online result cache invalidation for real-time web search (XB, FPJ), pp. 641–650.
SIGIRSIGIR-2012-MaW #query #web
User-aware caching and prefetching query results in web search engines (HM, BW), pp. 1163–1164.
SACSAC-2012-HuangZGWCW #clustering
Reducing last level cache pollution through OS-level software-controlled region-based partitioning (TH, QZ, XG, XW, XC, KW), pp. 1779–1784.
SACSAC-2012-MatsubaraMYUIK #algorithm #named #performance #re-engineering
CCDR-PAID: more efficient cache-conscious PAID algorithm by data reconstruction (YM, JM, GY, YU, SI, HK), pp. 193–198.
ASPLOSASPLOS-2012-JaleelNSSE #named #scheduling
CRUISE: cache replacement and utility-aware scheduling (AJ, HHNa, SS, SCSJ, JSE), pp. 249–260.
ASPLOSASPLOS-2012-LeeS #architecture #scheduling #using
Region scheduling: efficiently using the cache architectures via page-level affinity (ML, KS), pp. 451–462.
CGOCGO-2012-PlazarKMF
WCET-aware static locking of instruction caches (SP, JCK, PM, HF), pp. 44–52.
CGOCGO-2012-SembrantBH #modelling #performance #profiling
Phase guided profiling for fast cache modeling (AS, DBS, EH), pp. 175–185.
HPCAHPCA-2012-BalakrishnanS #behaviour #named #probability #using
WEST: Cloning data cache behavior using Stochastic Traces (GB, YS), pp. 387–398.
HPCAHPCA-2012-DalyC
Cache restoration for highly partitioned virtualized systems (DD, HWC), pp. 225–234.
HPCAHPCA-2012-KhanWJ #segmentation
Decoupled dynamic cache segmentation (SMK, ZW, DAJ), pp. 235–246.
HPCAHPCA-2012-LeeK #architecture #cpu #gpu #named #policy
TAP: A TLP-aware cache management policy for a CPU-GPU heterogeneous architecture (JL, HK), pp. 91–102.
HPCAHPCA-2012-RolanFD #adaptation
Adaptive Set-Granular Cooperative Caching (DR, BBF, RD), pp. 213–224.
HPCAHPCA-2012-SuhAD #markov #multi #named #reliability
MACAU: A Markov model for reliability evaluations of caches under Single-bit and Multi-bit Upsets (JS, MA, MD), pp. 3–14.
HPCAHPCA-2012-SundararajanPJTF #clustering #energy
Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs (KTS, VP, TMJ, NPT, BF), pp. 311–322.
ISMMISMM-2012-GuD #collaboration
A generalized theory of collaborative caching (XG, CD), pp. 109–120.
ISMMISMM-2012-InoueN #hardware #identification #java #source code
Identifying the sources of cache misses in Java programs without relying on hardware counters (HI, TN), pp. 133–142.
LCTESLCTES-2012-LiZXH #embedded #hybrid
Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache (QL, MZ, CJX, YH), pp. 109–118.
PPoPPPPoPP-2012-MetreveliZK #named
CPHASH: a cache-partitioned hash table (ZM, NZ, MFK), pp. 319–320.
CAVCAV-2012-KopfMO #automation #quantifier
Automatic Quantification of Cache Side-Channels (BK, LM, MO), pp. 564–580.
IJCARIJCAR-2012-SteigmillerLG #logic
Extended Caching, Backjumping and Merging for Expressive Description Logics (AS, TL, BG), pp. 514–529.
ASEASE-2011-LeungwattanakitAHTY #distributed #model checking #process
Model checking distributed systems by combining caching and process checkpointing (WL, CA, MH, YT, MY), pp. 103–112.
ASEASE-2011-SurapaneniNMW #performance
Exploring caching for efficient collection operations (SS, VKSN, SKM, TW), pp. 468–471.
DACDAC-2011-ChoiYLA #behaviour #fault #performance
Matching cache access behavior and bit error pattern for high performance low Vcc L1 cache (YGC, SY, SL, JHA), pp. 978–983.
DACDAC-2011-FajardoFIGLZ #architecture #effectiveness #embedded #named
Buffer-integrated-Cache: a cost-effective SRAM architecture for handheld and embedded platforms (CFF, ZF, RI, GFG, SEL, LZ), pp. 966–971.
DACDAC-2011-KandemirYK #clustering #concurrent #parallel #thread
A helper thread based dynamic cache partitioning scheme for multithreaded applications (MTK, TY, EK), pp. 954–959.
DACDAC-2011-LeeJ #framework #modelling #named #process
CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations (CYL, NKJ), pp. 866–871.
DACDAC-2011-WangMR #clustering #configuration management #energy #manycore #optimisation #realtime
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems (WW, PM, SR), pp. 948–953.
DATEDATE-2011-CalimeraLMP #architecture
Partitioned cache architectures for reduced NBTI-induced aging (AC, ML, EM, MP), pp. 938–943.
DATEDATE-2011-FuWT #approach #manycore #performance #simulation
A shared-variable-based synchronization approach to efficient cache coherence simulation for multi-core systems (CYF, MHW, RST), pp. 347–352.
DATEDATE-2011-ParkYL #novel #power management
A novel tag access scheme for low power L2 cache (HP, SY, SL), pp. 655–660.
DATEDATE-2011-RossiTSM #analysis #fault #memory management #performance #reliability
Error correcting code analysis for cache memory high reliability and performance (DR, NT, MS, CM), pp. 1620–1625.
MSRMSR-2011-SadowskiLLZW #algorithm #analysis #empirical
An empirical analysis of the FixCache algorithm (CS, CL, ZL, XZ, EJWJ), pp. 219–222.
ICALPICALP-v1-2011-EpsteinILN #on the
On Variants of File Caching (LE, CI, AL, JNG), pp. 195–206.
ICEISICEIS-v1-2011-MakkiAGJ #evaluation #performance #query #semantics
Performance Evaluation of Query Trimming Strategies in Semantic Caching Environment (SKM, SA, YG, MSJ), pp. 169–176.
ICEISICEIS-v4-2011-NguyenD #approach #information management #mobile #performance
An Efficient Cooperative Cache Approach in Mobile Information System (TTMN, TTBD), pp. 153–159.
ECIRECIR-2011-AltingovdeOCU #approach #hybrid
Second Chance: A Hybrid Approach for Dynamic Result Caching in Search Engines (ISA, RO, BBC, ÖU), pp. 510–516.
ECIRECIR-2011-BortnikovLV #realtime
Caching for Realtime Search (EB, RL, KV), pp. 104–116.
SIGIRSIGIR-2011-AliciAOCU #web
Timestamp-based result cache invalidation for web search engines (SA, ISA, RO, BBC, ÖU), pp. 973–982.
SACSAC-2011-KimKKK #energy #memory management #named #performance
FMCM: a efficient flash memory cache management scheme for energy-efficient disks (YK, TK, YK, ARK), pp. 625–626.
SACSAC-2011-KungasD #configuration management #web #web service
Configurable SOAP proxy cache for data provisioning web services (PK, MD), pp. 1614–1621.
SACSAC-2011-LiuZ11a #analysis #distance #performance #stack #worst-case
Stack distance based worst-case instruction cache performance analysis (YL, WZ), pp. 723–728.
SACSAC-2011-RyengHN #distributed #semantics
Site-autonomous distributed semantic caching (NHR, JOH, KN), pp. 1015–1021.
SACSAC-2011-SeokPP #algorithm #hybrid #in memory #memory management #migration
Migration based page caching algorithm for a hybrid main memory of DRAM and PRAM (HS, YP, KHP), pp. 595–599.
SACSAC-2011-SourlasPMFT #modelling
Modeling the dynamics of caching in content-based publish/subscribe systems (VS, GSP, PM, PF, LT), pp. 478–485.
ESEC-FSEESEC-FSE-2011-RahmanPHBD #question
BugCache for inspections: hit or miss? (FR, DP, AH, ETB, PTD), pp. 322–331.
ESEC-FSEESEC-FSE-2011-TamrawiNAN #approach #debugging #fuzzy #set
Fuzzy set and cache-based approach for bug triaging (AT, TTN, JMAK, TNN), pp. 365–375.
CGOCGO-2011-JonesBMC #optimisation #performance
Link-time optimization for power efficiency in a tagless instruction cache (TMJ, SB, JM, DC), pp. 32–41.
CGOCGO-2011-LiuZDK #manycore #scheduling
On-chip cache hierarchy-aware tile scheduling for multicore machines (JL, YZ, WD, MTK), pp. 161–170.
HPCAHPCA-2011-AnsariFGM #design #named #polymorphism #robust
Archipelago: A polymorphic cache design for enabling robust near-threshold operation (AA, SF, SG, SAM), pp. 539–550.
HPCAHPCA-2011-BrownPT #concurrent #migration #performance #predict #set #thread
Fast thread migration via cache working set prediction (JAB, LP, DMT), pp. 193–204.
HPCAHPCA-2011-GhasemiDK #architecture #using
Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
HPCAHPCA-2011-JiangMZIFSMBD #named #scheduling #symmetry
ACCESS: Smart scheduling for asymmetric cache CMPs (XJ, AKM, LZ, RI, ZF, SS, SM, PB, CRD), pp. 527–538.
HPCAHPCA-2011-LeeCC #named
CloudCache: Expanding and shrinking private caches (HL, SC, BRC), pp. 219–230.
HPCAHPCA-2011-ManikantanRG #distance #manycore #named #performance
NUcache: An efficient multicore cache organization based on Next-Use distance (RM, KR, RG), pp. 243–253.
HPCAHPCA-2011-SmullenMNGS #energy #performance
Relaxing non-volatility for fast and energy-efficient STT-RAM caches (CWSI, VM, AN, SG, MRS), pp. 50–61.
HPCAHPCA-2011-SrikantaiahKZKIX #adaptation #configuration management #multi #named
MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy (SS, EK, TZ, MTK, MJI, YX), pp. 231–242.
HPCAHPCA-2011-VantreaseLB #protocol
Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols (DV, MHL, NLB), pp. 132–143.
HPDCHPDC-2011-LeonRFM #injection #parallel
Cache injection for parallel applications (EAL, RR, KBF, ABM), pp. 15–26.
ISMMISMM-2011-AfekDM #memory management
Cache index-aware memory allocation (YA, DD, AM), pp. 55–64.
ISMMISMM-2011-GuD #collaboration #on the
On the theory and potential of LRU-MRU collaborative cache management (XG, CD), pp. 43–54.
ISMMISMM-2011-MajoG #manycore #memory management
Memory management in NUMA multicore systems: trapped between cache contention and interconnect overhead (ZM, TRG), pp. 11–20.
LCTESLCTES-2011-Cullmann #analysis #novel #persistent #theory and practice
Cache persistence analysis: a novel approachtheory and practice (CC), pp. 121–130.
LCTESLCTES-2011-SarkarMR #manycore #migration #predict
Predictable task migration for locked caches in multi-core systems (AS, FM, HR), pp. 131–140.
PPoPPPPoPP-2011-DingWZ #multi #named #optimisation #performance
ULCC: a user-level facility for optimizing shared cache performance on multicores (XD, KW, XZ), pp. 103–112.
PPoPPPPoPP-2011-PrabhakarSGK #multi
QoS aware storage cache management in multi-server environments (RP, SS, RG, MTK), pp. 289–290.
PPoPPPPoPP-2011-XiangBBDC #composition #modelling #profiling
All-window profiling and composable models of cache sharing (XX, BB, TB, CD, TMC), pp. 91–102.
DACDAC-2010-HaquePJP #approach #embedded #named #performance #policy #simulation
SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy (MSH, JP, AJ, SP), pp. 356–361.
DACDAC-2010-LiangM #reuse #using
Instruction cache locking using temporal reuse profile (YL, TM), pp. 344–349.
DACDAC-2010-LiaoYB #performance
A new IP lookup cache for high performance IP routers (GL, HY, LNB), pp. 338–343.
DACDAC-2010-YuP #clustering #manycore #memory management
Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms (CY, PP), pp. 132–137.
DATEDATE-2010-BenitezMRL #configuration management #memory management
A reconfigurable cache memory with heterogeneous banks (DB, JCM, DR, EL), pp. 825–830.
DATEDATE-2010-HaquePJP #approach #embedded #named #performance #policy #simulation
DEW: A fast level 1 cache simulation approach for embedded processors with FIFO replacement policy (MSH, JP, AJ, SP), pp. 496–501.
DATEDATE-2010-HuanYCM #energy #graph
Energy-oriented dynamic SPM allocation based on time-slotted Cache conflict graph (WH, ZY, MC, LM), pp. 598–601.
DATEDATE-2010-JanapsatyaIPP #adaptation #algorithm #policy
Dueling CLOCK: Adaptive cache replacement policy based on the CLOCK algorithm (AJ, AI, JP, SP), pp. 920–925.
DATEDATE-2010-JooNDSCX #design #energy #memory management
Energy- and endurance-aware design of phase change memory caches (YJ, DN, XD, GS, NC, YX), pp. 136–141.
DATEDATE-2010-KimKL #named #reliability #similarity
SimTag: Exploiting tag bits similarity to improve the reliability of the data caches (JK, SK, YL), pp. 941–944.
DATEDATE-2010-RickettsSRVP #power management
Investigating the impact of NBTI on different power saving cache strategies (AJR, JS, KR, NV, DKP), pp. 592–597.
PODSPODS-2010-PaghWYZ
Cache-oblivious hashing (RP, ZW, KY, QZ), pp. 297–304.
VLDBVLDB-2010-Nori #distributed
Distributed Caching Platforms (AN), pp. 1645–1646.
ITiCSEITiCSE-2010-IlbeyiN #named #visualisation
VCache: visualization applet for processor caches (BI, JAN), p. 304.
PLDIPLDI-2010-KamruzzamanST #concurrent #distributed #performance #thread
Software data spreading: leveraging distributed caches to improve single thread performance (MK, SS, DMT), pp. 460–470.
PLDIPLDI-2010-KandemirYMSIZ #multi
Cache topology aware computation mapping for multicores (MTK, TY, SPM, SS, MJI, YZ), pp. 74–85.
AdaEuropeAdaEurope-2010-MezzettiBRV #development
Cache-Aware Development of High-Integrity Systems (EM, AB, JR, TV), pp. 139–152.
ICEISICEIS-DISI-2010-PanZH #library #open source #query #retrieval #using
An Open Source Software based Library Catalogue System using Soundexing Retrieval and Query Caching (ZP, YZ, JH), pp. 182–189.
CIKMCIKM-2010-HouleOQ #query #similarity
Active caching for similarity queries based on shared-neighbor information (MEH, VO, UQ), pp. 669–678.
SIGIRSIGIR-2010-BlancoBJLTZ #incremental
Caching search engine results over incremental indices (RB, EB, FJ, RL, LT, HZ), pp. 82–89.
ECOOPECOOP-2010-Brunthaler
Inline Caching Meets Quickening (SB), pp. 429–451.
SACSAC-2010-Brunthaler #performance
Efficient inline caching without dynamic translation (SB), pp. 2155–2156.
SACSAC-2010-PelosiP #mobile #named
SMaC: spatial map caching technique for mobile devices (GP, GP), pp. 1829–1830.
SLESLE-2010-SoderbergH #attribute grammar #automation
Automated Selective Caching for Reference Attribute Grammars (ES, GH), pp. 2–21.
CGOCGO-2010-Srisa-anCSS #memory management #self
A self-adjusting code cache manager to balance start-up time and memory usage (WSa, MBC, YS, MS), pp. 82–91.
HPCAHPCA-2010-BiswasRMACJPPS #metric #using
Explaining cache SER anomaly using DUE AVF measurement (AB, CR, SSM, VA, LC, AJ, AEP, MP, NS), pp. 1–12.
HPCAHPCA-2010-JiangMZUIMNSB #adaptation #named
CHOP: Adaptive filter-based DRAM caching for CMP server platforms (XJ, NM, LZ, MU, RI, SM, DN, YS, RB), pp. 1–12.
HPCAHPCA-2010-LeeCC #multi #named #performance
StimulusCache: Boosting performance of chip multiprocessors with excess cache (HL, SC, BRC), pp. 1–12.
HPCAHPCA-2010-MerinoPG #adaptation #architecture #low cost #named
ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture (JM, VP, JÁG), pp. 1–10.
HPCAHPCA-2010-TangBHC #architecture #cpu #performance #using
DMA cache: Using on-chip storage to architecturally separate I/O data from CPU data for improving I/O performance (DT, YB, WH, MC), pp. 1–12.
HPDCHPDC-2010-KandemirMKS #multi
Computation mapping for multi-level storage cache hierarchies (MTK, SPM, MK, SWS), pp. 179–190.
HPDCHPDC-2010-MarinCG #web
New caching techniques for web search engines (MM, VGC, CGP), pp. 215–226.
HPDCHPDC-2010-PatrickKKSC
Cashing in on hints for better prefetching and caching in PVFS and MPI-IO (CMP, MTK, MK, SWS, ANC), pp. 191–202.
ISMMISMM-2010-BegB #approach #graph
A graph theoretic approach to cache-conscious placement of data for direct mapped caches (MB, PvB), pp. 113–120.
LCTESLCTES-2010-AltmeyerMR #analysis #bound
Resilience analysis: tightening the CRPD bound for set-associative caches (SA, CM, JR), pp. 153–162.
LCTESLCTES-2010-ShrivastavaLJ #embedded #equation #fault
Cache vulnerability equations for protecting data in embedded processor caches from soft errors (AS, JL, RJ), pp. 143–152.
OSDIOSDI-2010-PortsCZML #automation #consistency #transaction
Transactional Consistency and Automatic Management in an Application Data Cache (DRKP, ATC, IZ, SM, BL), pp. 279–292.
PPoPPPPoPP-2010-CastaldoW #parallel #scalability #using
Scaling LAPACK panel operations using parallel cache assignment (AMC, RCW), pp. 223–232.
PPoPPPPoPP-2010-MuralidharaKR #clustering #parallel #thread
Intra-application shared cache partitioning for multithreaded applications (SPM, MTK, PR), pp. 329–330.
PPoPPPPoPP-2010-ZhangJS #matter #parallel #performance #question #source code #thread
Does cache sharing on modern CMP matter to the performance of contemporary multithreaded programs? (EZZ, YJ, XS), pp. 203–212.
ICSTICST-2010-EngstromRW #empirical #evaluation #recommendation #testing
An Empirical Evaluation of Regression Testing Based on Fix-Cache Recommendations (EE, PR, GW), pp. 75–78.
IJCARIJCAR-2010-GoreKPS #algebra #logic
Global Caching for Coalgebraic Description Logics (RG, CK, DP, LS), pp. 46–60.
ASEASE-2009-ArthoLHTY #branch #linear #model checking
Cache-Based Model Checking of Networked Applications: From Linear to Branching Time (CA, WL, MH, YT, MY), pp. 447–458.
DACDAC-2009-BaiocchiC #in memory #memory management #using
Heterogeneous code cache: using scratchpad and main memory in dynamic binary translators (JB, BRC), pp. 744–749.
DACDAC-2009-ChouCWCCWW #3d #manycore
No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips (SHC, CCC, CNW, YCC, TFC, CCW, JSW), pp. 587–592.
DACDAC-2009-KluterBIC #automation #set
Way Stealing: cache-assisted automatic instruction set extensions (TK, PB, PI, EC), pp. 31–36.
DACDAC-2009-LaiYKH #performance #realtime
A trace-capable instruction cache for cost efficient real-time program trace compression in SoC (CHL, FCY, CFK, IJH), pp. 136–141.
DACDAC-2009-PanKOMC #process
Selective wordline voltage boosting for caches to manage yield under process variations (YP, JK, SO, GM, SWC), pp. 57–62.
DACDAC-2009-VishnoiPB #debugging #online
Online cache state dumping for processor debug (AV, PRP, MB), pp. 358–363.
DATEDATE-2009-BardineCFGP #migration #power management
A power-efficient migration mechanism for D-NUCA caches (AB, MC, PF, GG, CAP), pp. 598–601.
DATEDATE-2009-GraciaMVBV #latency
Light NUCA: A proposal for bridging the inter-cache latency gap (DSG, TM, FV, RB, VV), pp. 530–535.
DATEDATE-2009-KandemirZO #adaptation #multi
Adaptive prefetching for shared cache based chip multiprocessors (MTK, YZ, ÖÖ), pp. 773–778.
DATEDATE-2009-LangenJ
Limiting the number of dirty cache lines (PJdL, BHHJ), pp. 670–675.
DATEDATE-2009-SasanHEK #process #scalability
Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling (AS, HH, AME, FJK), pp. 911–916.
DATEDATE-2009-VignonCDMF #3d #architecture #novel
A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context (AV, SC, WD, PM, MF), pp. 929–933.
DATEDATE-2009-VishnoiPB #debugging
Cache aware compression for processor debug support (AV, PRP, MB), pp. 208–213.
DATEDATE-2009-WuLZSX #hybrid #performance
Power and performance of read-write aware Hybrid Caches with non-volatile memories (XW, JL, LZ, ES, YX), pp. 737–742.
DATEDATE-2009-ZuoFQWJNLYB #manycore
Group-caching for NoC based multicore cache coherent systems (ZW, FS, QZ, WJ, JL, ND, LX, YAT, BQ), pp. 755–760.
PODSPODS-2009-ChierichettiKV #similarity
Similarity caching (FC, RK, SV), pp. 127–136.
VLDBVLDB-2009-LeeDCLZ #database #manycore #named
MCC-DB: Minimizing Cache Conflicts in Multi-core Processors for Databases (RL, XD, FC, QL, XZ), pp. 373–384.
TACASTACAS-2009-MateescuW #adaptation
Hierarchical Adaptive State Space Caching Based on Level Sampling (RM, AW), pp. 215–229.
CIKMCIKM-2009-MarinFMGC #query #web
Location cache for web queries (MM, FF, MM, CGP, VGC), pp. 1995–1998.
ECIRECIR-2009-AltingovdeOU #cost analysis #query #web
A Cost-Aware Strategy for Query Result Caching in Web Search Engines (ISA, RO, ÖU), pp. 628–636.
ECIRECIR-2009-PohlM #metric
Measurement Techniques and Caching Effects (SP, AM), pp. 691–695.
RecSysRecSys-2009-QasimOWHO #partial order #recommendation
A partial-order based active cache for recommender systems (UQ, VO, YfBW, MEH, MTÖ), pp. 209–212.
SEKESEKE-2009-ManicaRTDB #information management #ontology #representation #semantics #towards
Toward Developing Knowledge Representation in Emergency Medical Assistance through a Ontology-based Semantic Cache Model (HM, CCdR, JLT, MARD, MAB), pp. 592–596.
SACSAC-2009-DohLMKCLN #embedded #file system #metadata #performance
Impact of NVRAM write cache for file system metadata on I/O performance in embedded systems (IHD, HJL, YJM, EK, JC, DL, SHN), pp. 1658–1663.
SACSAC-2009-LiuZ #distance #performance #stack #worst-case
Exploiting stack distance to estimate worst-case data cache performance (YL, WZ), pp. 1979–1983.
ASPLOSASPLOS-2009-GuptaKU #named
DFTL: a flash translation layer employing demand-based selective caching of page-level address mappings (AG, YK, BU), pp. 229–240.
HPCAHPCA-2009-AwasthiSBC #capacity #scalability
Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches (MA, KS, RB, JBC), pp. 250–261.
HPCAHPCA-2009-Chaudhuri #locality #multi #named #policy #scalability
PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches (MC), pp. 227–238.
HPCAHPCA-2009-HiltonNR #named
iCFP: Tolerating all-level cache misses in in-order processors (ADH, SN, AR), pp. 431–442.
HPCAHPCA-2009-KongASZ
Hardware-software integrated approaches to defend against software cache-based side channel attacks (JK, OA, JPS, HZ), pp. 393–404.
HPCAHPCA-2009-KumarHM #manycore
Characterization of Direct Cache Access on multi-core systems and 10GbE (AK, RH, SM), pp. 341–352.
HPCAHPCA-2009-MadanZMUBIMN #3d #capacity #communication #configuration management #optimisation
Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy (NM, LZ, NM, ANU, RB, RI, SM, DN), pp. 262–274.
HPCAHPCA-2009-Qureshi #adaptation #robust
Adaptive Spill-Receive for robust high-performance caching in CMPs (MKQ), pp. 45–54.
HPCAHPCA-2009-SeoLS #design #implementation #memory management #multi
Design and implementation of software-managed caches for multicores with local memory (SS, JL, ZS), pp. 55–66.
HPCAHPCA-2009-SunDXLC #3d #architecture #novel
A novel architecture of the 3D stacked MRAM L2 cache for CMPs (GS, XD, YX, JL, YC), pp. 239–249.
ICSTICST-2009-WikstrandFGZW #testing
Dynamic Regression Test Selection Based on a File Cache (GW, RF, JKG, WZ, CW), pp. 299–302.
DACDAC-2008-BournoutianO #design #embedded #reduction
Miss reduction in embedded processors through dynamic, power-friendly cache design (GB, AO), pp. 304–309.
DACDAC-2008-LiangM #analysis #execution #modelling #probability
Cache modeling in probabilistic execution time analysis (YL, TM), pp. 319–324.
DACDAC-2008-SuhendraM #clustering #multi #predict
Exploring locking & partitioning for predictable shared caches on multi-cores (VS, TM), pp. 300–303.
DATEDATE-2008-BatcherW #embedded #scheduling
Dynamic Round-Robin Task Scheduling to Reduce Cache Misses for Embedded Systems (KWB, RAW), pp. 260–263.
DATEDATE-2008-JonesBBCO #compilation #energy
Instruction Cache Energy Saving Through Compiler Way-Placement (TMJ, SB, BDB, JC, MFPO), pp. 1196–1201.
DATEDATE-2008-KleanthousS #detection #named
CATCH: A Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches (MK, YS), pp. 1426–1431.
DATEDATE-2008-MassasP #comparison #manycore #memory management #policy
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems (PGdM, FP), pp. 997–1002.
DATEDATE-2008-MolnosHC #composition #embedded #multi
Compositional, dynamic cache management for embedded chip multiprocessors (AMM, MJMH, SDC), pp. 991–996.
DATEDATE-2008-MoonenBBM #multi #streaming
Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip (AM, MB, RvdB, JLvM), pp. 300–305.
DATEDATE-2008-ParkSP #embedded #execution #using
Hiding Cache Miss Penalty Using Priority-based Execution for Embedded Processors (SP, AS, YP), pp. 1190–1195.
PODSPODS-2008-FerraginaGGSV #on the #string
On searching compressed string collections cache-obliviously (PF, RG, AG, RS, JSV), pp. 181–190.
SIGMODSIGMOD-2008-LillisP #xpath
Cooperative XPath caching (KL, EP), pp. 327–338.
VLDBVLDB-2008-GarrodMAMMOT #query #scalability #web
Scalable query result caching for web applications (CG, AM, AA, BMM, TCM, CO, AT), pp. 550–561.
VLDBVLDB-2008-SoundararajanCSA #clustering
Dynamic partitioning of the cache hierarchy in shared data centers (GS, JC, MAS, CA), pp. 635–646.
STOCSTOC-2008-BansalBN #algorithm #random
Randomized competitive algorithms for generalized caching (NB, NB, JN), pp. 235–244.
CIKMCIKM-2008-Dominguez-SalSAL
Cache-aware load balancing for question answering (DDS, MS, JAS, JLLP), pp. 1271–1280.
CIKMCIKM-2008-OzcanAU #query
Utilization of navigational queries for result presentation and caching in search engines (RO, ISA, ÖU), pp. 1499–1500.
SIGIRSIGIR-2008-SkobeltsynJPB #named #web
ResIn: a combination of results caching and index pruning for high-performance web search engines (GS, FJ, VP, RABY), pp. 131–138.
OOPSLAOOPSLA-2008-WillisPN #java #query
Caching and incrementalisation in the java query language (DW, DJP, JN), pp. 1–18.
SACSAC-2008-BallabrigaCS #analysis #approach
An improved approach for set-associative instruction cache partial analysis (CB, HC, PS), pp. 360–367.
SACSAC-2008-GiorgiB #performance
Filtering drowsy instruction cache to achieve better efficiency (RG, PB), pp. 1554–1555.
SACSAC-2008-YeLC #adaptation #mobile #network
Adaptive caching with heterogeneous devices in mobile peer to peer network (FY, QL, EC), pp. 1897–1901.
ASPLOSASPLOS-2008-SrikantaiahKI #adaptation #multi #set
Adaptive set pinning: managing shared caches in chip multiprocessors (SS, MTK, MJI), pp. 135–144.
CGOCGO-2008-ChenZST
Prefetching irregular references for software cache on cell (TC, TZ, ZS, MGT), pp. 155–164.
HPCAHPCA-2008-LinLDZZS #clustering #manycore #simulation
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems (JL, QL, XD, ZZ, XZ, PS), pp. 367–378.
HPCAHPCA-2008-UsecheGBAR #energy #named
EXCES: External caching in energy saving storage systems (LU, JG, MB, MA, RR), pp. 89–100.
LCTESLCTES-2008-ReinekeG #analysis #policy
Relative competitive analysis of cache replacement policies (JR, DG), pp. 51–60.
PPoPPPPoPP-2008-GiacomoniMV #concurrent #parallel #performance #pipes and filters #queue
FastForward for efficient pipeline parallelism: a cache-optimized concurrent lock-free queue (JG, TM, MV), pp. 43–52.
PPoPPPPoPP-2008-KejariwalNBVP #clustering
Cache-aware iteration space partitioning (AK, AN, UB, AVV, CDP), pp. 269–270.
IJCARIJCAR-2008-GoreP #evaluation
An Experimental Evaluation of Global Caching for (System Description) (RG, LP), pp. 299–305.
DACDAC-2007-Gordon-RossV #configuration management #self
A Self-Tuning Configurable Cache (AGR, FV), pp. 234–237.
DACDAC-2007-HallschmidS #automation #energy #modelling #using
Automatic Cache Tuning for Energy-Efficiency using Local Regression Modeling (PH, RS), pp. 732–737.
DACDAC-2007-KirnerS #analysis #execution #modelling #worst-case
Modeling the Function Cache for Worst-Case Execution Time Analysis (RK, MS), pp. 471–476.
DATEDATE-2007-Gordon-RossVVNB #configuration management #energy #performance
A one-shot configurable-cache tuner for improved energy and performance (AGR, PV, FV, WAN, EB), pp. 755–760.
DATEDATE-2007-HungIGS #fault
Utilization of SECDED for soft error and variation-induced defect tolerance in caches (LDH, HI, MG, SS), pp. 1134–1139.
DATEDATE-2007-JanapsatyaIPH #agile #simulation
Instruction trace compression for rapid instruction cache simulation (AJ, AI, SP, JH), pp. 803–808.
DATEDATE-2007-JuCR #analysis #scheduling
Accounting for cache-related preemption delay in dynamic priority schedulability analysis (LJ, SC, AR), pp. 1623–1628.
DATEDATE-2007-MutyamV #process
Working with process variation aware caches (MM, NV), pp. 1152–1157.
DATEDATE-2007-PuautP #comparison #realtime
Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison (IP, CP), pp. 1484–1489.
DATEDATE-2007-SugiharaIM #architecture #multi #reliability #scheduling
Task scheduling for reliable cache architectures of multiprocessor systems (MS, TI, KM), pp. 1490–1495.
SIGMODSIGMOD-2007-HeLLY #in memory #named #query
EaseDB: a cache-oblivious in-memory query processor (BH, YL, QL, DY), pp. 1064–1066.
ICSMEICSM-2007-Bergin #adaptation #runtime
Runtime Adaptation of Long-Running Applications for Improved Caching (JB), pp. 509–510.
HCIHCI-AS-2007-WeiXL #multi #named #performance
Stripe-Cache: An Efficient Cache Scheme for Building Multimedia Oriented RAID System (QW, CX, XL), pp. 1130–1139.
CIKMCIKM-2007-RaoCFB #analysis #network #peer-to-peer
Optimal proactive caching in peer-to-peer network: analysis and application (WR, LC, AWCF, YB), pp. 663–672.
CIKMCIKM-2007-TsegayTZ #effectiveness
Dynamic index pruning for effective caching (YT, AT, JZ), pp. 987–990.
SEKESEKE-2007-CoxZO #data transfer
A Tag-Level Web-Caching Scheme for Reducing Redundant Data Transfers (SEC, DZ, JO), p. 274–?.
SIGIRSIGIR-2007-Baeza-YatesGJMPS
The impact of caching on search engines (RABY, AG, FJ, VM, VP, FS), pp. 183–190.
SACSAC-2007-KumarMS #mobile #policy
A weighted cache replacement policy for location dependent data in mobile environments (AK, MM, AKS), pp. 920–924.
SACSAC-2007-Li #abstraction #parametricity #protocol #proving #verification
Mechanized proofs for the parameter abstraction and guard strengthening principle in parameterized verification of cache coherence protocols (YL), pp. 1534–1535.
SACSAC-2007-LiuD #performance #web #web service
An efficient dual caching strategy for web service-enabled PDAs (XL, RD), pp. 788–794.
SACSAC-2007-NazKOF #configuration management #embedded #novel
Reconfigurable split data caches: a novel scheme for embedded systems (AN, KMK, JHO, PF), pp. 707–712.
ICSEICSE-2007-KimZWZ #fault #predict
Predicting Faults from Cached History (SK, TZ, EJWJ, AZ), pp. 489–498.
CGOCGO-2007-DaiLH #execution #network #pipes and filters #using
Pipelined Execution of Critical Sections Using Software-Controlled Caching in Network Processors (JD, LL, BH), pp. 312–324.
CGOCGO-2007-ReddiCCS #persistent #reuse
Persistent Code Caching: Exploiting Code Reuse Across Executions and Applications (VJR, DC, RC, MDS), pp. 74–88.
HPCAHPCA-2007-ChengCD #adaptation #protocol
An Adaptive Cache Coherence Protocol Optimized for Producer-Consumer Sharing (LC, JBC, DD), pp. 328–339.
HPCAHPCA-2007-DybdahlS #adaptation #clustering #multi
An Adaptive Shared/Private NUCA Cache Partitioning Scheme for Chip Multiprocessors (HD, PS), pp. 2–12.
HPCAHPCA-2007-JinKY #design #network #scalability
A Domain-Specific On-Chip Network Design for Large Scale Cache Systems (YJ, EJK, KHY), pp. 318–327.
HPCAHPCA-2007-MeixnerS #detection #fault #online
Error Detection via Online Checking of Cache Coherence with Token Coherence Signatures (AM, DJS), pp. 145–156.
HPCAHPCA-2007-QureshiSP #capacity #word
Line Distillation: Increasing Cache Capacity by Filtering Unused Words in Cache Lines (MKQ, MAS, YNP), pp. 250–259.
HPCAHPCA-2007-YenBMMVHSW #hardware #memory management #named #transaction
LogTM-SE: Decoupling Hardware Transactional Memory from Caches (LY, JB, MRM, KEM, HV, MDH, MMS, DAW), pp. 261–272.
LCTESLCTES-2007-AbouGhazalehFRXLCMM #cpu #machine learning #scalability #using
Integrated CPU and l2 cache voltage scaling using machine learning (NA, APF, CR, RX, FL, BRC, DM, RGM), pp. 41–50.
LCTESLCTES-2007-RavindranCM #power management
Compiler-managed partitioned data caches for low power (RAR, MLC, SAM), pp. 237–247.
LCTESLCTES-2007-WangCZYZ #optimisation #performance
Optimizing software cache performance of packet processing applications (QW, JC, WZ, MY, BZ), pp. 227–236.
LCTESLCTES-2007-YanZ #analysis
WCET analysis of instruction caches with prefetching (JY, WZ), pp. 175–184.
ICSTSAT-2007-PipatsrisawatD #component #lightweight #satisfiability
A Lightweight Component Caching Scheme for Satisfiability Solvers (KP, AD), pp. 294–299.
DACDAC-2006-VianaGKBV #configuration management #performance
Configurable cache subsetting for fast cache tuning (PV, AGR, EJK, EB, FV), pp. 695–700.
DATEDATE-2006-AsadiSTK #analysis
Vulnerability analysis of L2 cache elements to single event upsets (HA, VS, MBT, DRK), pp. 1276–1281.
DATEDATE-2006-Kim #fault
Area-efficient error protection for caches (SK), pp. 1282–1287.
DATEDATE-2006-MolnosHCE #composition #multi #performance
Compositional, efficient caches for a chip multi-processor (AMM, MJMH, SDC, JTJvE), pp. 345–350.
DATEDATE-2006-VandierendonckML #configuration management
Application-specific reconfigurable XOR-indexing to eliminate cache conflict misses (HV, PM, JDL), pp. 357–362.
PODSPODS-2006-BenderFK #string
Cache-oblivious string B-trees (MAB, MFC, BCK), pp. 233–242.
SIGMODSIGMOD-2006-BernsteinFGRT #replication
Relaxed-currency serializability for middle-tier caching and replication (PAB, AF, HG, RR, PT), pp. 599–610.
SIGMODSIGMOD-2006-LeeLWZX #data access #mobile
CS cache engine: data access accelerator for location-based service in mobile environments (KCKL, WCL, JW, BZ, JX), pp. 787–789.
VLDBVLDB-2006-CandanHCTA #adaptation #clustering #named #xml
AFilter: Adaptable XML Filtering with Prefix-Caching and Suffix-Clustering (KSC, WPH, SC, JT, DA), pp. 559–570.
ITiCSEITiCSE-2006-Sher #data type #internet
Motivating data structures with caching internet stock data (DBS), p. 344.
PLDIPLDI-2006-ChilimbiS #data type
Cache-conscious coallocation of hot data streams (TMC, RS), pp. 252–262.
CIKMCIKM-2006-HeL
Cache-oblivious nested-loop joins (BH, QL), pp. 718–727.
KDDKDD-2006-Moore #algorithm #statistics
New cached-sufficient statistics algorithms for quickly answering statistical questions (AM), p. 2.
QAPLQAPL-2006-SotinCJ #behaviour #java #static analysis
Quantitative Static Analysis Over Semirings: Analysing Cache Behaviour for Java Card (PS, DC, TPJ), pp. 153–167.
SACSAC-2006-LeongG #policy
Improving the performances of proxy cache replacement policies by considering infrequent objects (HWL, BG), pp. 791–796.
SACSAC-2006-MadhukarA #adaptation #database #energy #mobile #performance
An adaptive energy efficient cache invalidation scheme for mobile databases (AM, RA), pp. 1122–1126.
ASPLOSASPLOS-2006-JonesAA #monitoring #named #virtual machine
Geiger: monitoring the buffer cache in a virtual machine environment (STJ, ACAD, RHAD), pp. 14–24.
ASPLOSASPLOS-2006-MillerA #embedded
Software-based instruction caching for embedded processors (JEM, AA), pp. 293–302.
CGOCGO-2006-BrueningKGB #thread
Thread-Shared Software Code Caches (DB, VK, TG, SB), pp. 28–38.
CGOCGO-2006-HazelwoodC #architecture #interface
A Cross-Architectural Interface for Code Cache Manipulation (KMH, RSC), pp. 17–27.
HPCAHPCA-2006-JaleelMJ #case study #data mining #mining #parallel #performance
Last level cache (LLC) performance of data mining workloads on a CMP — a case study of parallel bioinformatics workloads (AJ, MM, BLJ), pp. 88–98.
HPCAHPCA-2006-PujaraA #performance
Increasing the cache efficiency by eliminating noise (PP, AA), pp. 145–154.
HPDCHPDC-2006-BoeheimGHLMMPW #named
PetaCache: A memory-Based Data-Server System (CB, SJG, AH, DL, RM, RM, TP, BW), pp. 349–350.
HPDCHPDC-2006-LopesM #clustering #file system #parallel
Cooperative Caching in the pCFS parallel Cluster File System (PAL, PDM), pp. 347–348.
ISMMISMM-2006-BhatiaCL #behaviour #co-evolution #design #optimisation
Memory-manager/scheduler co-design: optimizing event-driven servers to improve cache behavior (SB, CC, JLL), pp. 104–114.
ICSTSAT-2006-Thurley #component #modelling #named
sharpSAT — Counting Models with Advanced Component Caching and Implicit BCP (MT), pp. 424–429.
DACDAC-2005-PetrovTO #embedded #energy #memory management
Energy-effcient physically tagged caches for embedded processors with virtual memory (PP, DT, AO), pp. 17–22.
DACDAC-2005-SuhKL #architecture
Cache coherence support for non-shared bus architecture on heterogeneous MPSoCs (TS, DK, HHSL), pp. 553–558.
DATEDATE-2005-BaiKKSM #multi #trade-off
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage (RB, NSK, TK, DS, TNM), pp. 650–651.
DATEDATE-2005-IshiharaF #power management
A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors (TI, FF), pp. 358–363.
DATEDATE-2005-LoghiAP #architecture #energy
Tag Overflow Buffering: An Energy-Efficient Cache Architecture (ML, PA, MP), pp. 520–525.
DATEDATE-2005-LoghiP #energy #memory management #performance #trade-off
Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions (ML, MP), pp. 508–513.
SIGMODSIGMOD-2005-DennyF #query
Predicate Result Range Caching for Continuous Queries (MD, MJF), pp. 646–657.
SIGMODSIGMOD-2005-XieYC #on the #probability
On Joining and Caching Stochastic Streams (JX, JY, YC), pp. 359–370.
VLDBVLDB-2005-Galindo-LegariaGKW #database #performance #query
Database Change Notifications: Primitives for Efficient Database Query Result Caching (CAGL, TG, CK, FW), pp. 1275–1278.
VLDBVLDB-2005-GhotingBPKNCD #mining
Cache-conscious Frequent Pattern Mining on a Modern Processor (AG, GB, SP, DK, ADN, YKC, PD), pp. 577–588.
VLDBVLDB-2005-GuoLR #consistency
Caching with “Good Enough” Currency, Consistency, and Completeness (HG, PÅL, RR), pp. 457–468.
VLDBVLDB-2005-MandhaniS #database #query #xml
Query Caching and View Selection for XML Databases (BM, DS), pp. 469–480.
ICALPICALP-2005-BrodalFM #adaptation #sorting
Cache-Aware and Cache-Oblivious Adaptive Sorting (GSB, RF, GM), pp. 576–588.
ICALPICALP-2005-JampalaZ
Cache-Oblivious Planar Shortest Paths (HJ, NZ), pp. 563–575.
ICEISICEIS-v1-2005-ManicaCD #architecture #semantics
An Architecture for Location-Dependent Semantic Cache Management (HM, MSdC, MARD), pp. 320–325.
CIKMCIKM-2005-YangH #ad hoc #network
Cooperative caching for k-NN search in ad hoc networks (BY, ARH), pp. 333–334.
CIKMCIKM-2005-ZhaoBG #mining #query #xml
Mining conserved XML query paths for dynamic-conscious caching (QZ, SSB, LG), pp. 219–220.
KDDKDD-2005-JinSA #information management #mining #optimisation
Simultaneous optimization of complex mining tasks with a knowledgeable cache (RJ, KS, GA), pp. 600–605.
CGOCGO-2005-BrueningA #bound #capacity #consistency #maintenance
Maintaining Consistency and Bounding Capacity of Software Code Caches (DB, SPA), pp. 74–85.
CGOCGO-2005-RavindranNDMSMB #compilation #power management
Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache (RAR, PDN, GSD, EDM, RMS, SAM, RBB), pp. 179–190.
HPCAHPCA-2005-ChandraGKS #architecture #multi #predict #thread
Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture (DC, FG, SK, YS), pp. 340–351.
HPCAHPCA-2005-MengSK #on the #power management #reduction
On the Limits of Leakage Power Reduction in Caches (YM, TS, RK), pp. 154–165.
HPDCHPDC-2005-LiaoCCWRT
Collective caching: application-aware client-side file caching (WkL, KC, ANC, LW, ER, ST), pp. 81–90.
LCTESLCTES-2005-Oi #design #hardware #java #on the #virtual machine
On the design of the local variable cache in a hardware translation-based java virtual machine (HO), pp. 87–94.
LCTESLCTES-2005-SermulinsTRA #optimisation #source code
Cache aware optimization of stream programs (JS, WT, RMR, SPA), pp. 115–126.
LCTESLCTES-2005-StaschulatE #analysis #precise #scalability #scheduling
Scalable precision cache analysis for preemptive scheduling (JS, RE), pp. 157–165.
LCTESLCTES-2005-TanM #analysis
WCRT analysis for a uniprocessor with a unified prioritized cache (YT, VJMI), pp. 175–182.
LCTESLCTES-2005-XuL
A sample-based cache mapping scheme (RX, ZL), pp. 166–174.
DACDAC-2004-PieperMPTK #multi #simulation
High level cache simulation for heterogeneous multiprocessors (JJP, AM, JMP, DET, FK), pp. 287–292.
DATEDATE-v1-2004-AlakarhuN #estimation #locality #metric #performance
Scalar Metric for Temporal Locality and Estimation of Cache Performance (JA, JN), pp. 730–731.
DATEDATE-v1-2004-ChangYL
Value-Conscious Cache: Simple Technique for Reducing Cache Access Power (YJC, CLY, FL), pp. 16–21.
DATEDATE-v1-2004-Gordon-RossVD #automation #embedded
Automatic Tuning of Two-Level Caches to Embedded Applications (AGR, FV, ND), pp. 208–213.
DATEDATE-v1-2004-LiPZSSS
State-Preserving vs. Non-State-Preserving Leakage Control in Caches (YL, DP, YZ, KS, MRS, KS), pp. 22–29.
DATEDATE-v1-2004-ZhangVL #architecture #embedded #self
A Self-Tuning Cache Architecture for Embedded Systems (CZ, FV, RLL), pp. 142–147.
DATEDATE-v1-2004-ZhangYV
Low Static-Power Frequent-Value Data Caches (CZ, JY, FV), pp. 214–219.
DATEDATE-v2-2004-AragonNVB #design #embedded #energy
Energy-Efficient Design for Highly Associative Instruction Caches in Next-Generation Embedded Processors (JLA, DN, AVV, AMB), pp. 1374–1375.
DATEDATE-v2-2004-NaculG #configuration management #power management
Dynamic Voltage and Cache Reconfiguration for Low Power (ACN, TG), pp. 1376–1379.
DATEDATE-v2-2004-SuhBL #multi
Supporting Cache Coherence in Heterogeneous Multiprocessor Systems (TS, DMB, HHSL), pp. 1150–1157.
DATEDATE-v2-2004-TanM #analysis #multi #realtime
Timing Analysis for Preemptive Multi-Tasking Real-Time Systems with Caches (YT, VJMI), pp. 1034–1039.
DATEDATE-v2-2004-VermaWM #algorithm
Cache-Aware Scratchpad Allocation Algorithm (MV, LW, PM), pp. 1264–1269.
SIGMODSIGMOD-2004-GuoRLG #consistency #constraints
Support for Relaxed Currency and Consistency Constraints in MTCache (HG, PÅL, RR, JG), pp. 937–938.
SIGMODSIGMOD-2004-ZhouR #database #performance
Buffering Database Operations for Enhanced Instruction Cache Performance (JZ, KAR), pp. 191–202.
VLDBVLDB-2004-HarizopoulosA #towards #transaction
STEPS towards Cache-resident Transaction Processing (SH, AA), pp. 660–671.
VLDBVLDB-2004-ManegoldBKN #clustering
Cache-Conscious Radix-Decluster Projections (SM, PAB, NN), pp. 684–695.
VLDBVLDB-2004-ZhuR #consistency #data flow #probability #scalability
Stochastic Consistency, and Scalable Pull-Based Caching for Erratic Data Sources (SZ, CVR), pp. 192–203.
ICEISICEIS-v1-2004-Riaz-ud-DinK #adaptation #database #multi #named #using
ACME-DB: An Adaptive Caching Mechanism Using Multiple Experts For Database Buffers (FRuD, MK), pp. 192–201.
SACSAC-2004-KatsarosM #memory management #web
Caching in Web memory hierarchies (DK, YM), pp. 1109–1113.
SACSAC-2004-LiebmannD #adaptation #architecture
Adaptive data dissemination and caching for edge service architectures built with the J2EE (EL, SD), pp. 1717–1724.
SACSAC-2004-ParkerC #policy #protocol
Extending invalid-access prevention policy protocols for mobile-client data caching (SP, ZC), pp. 1171–1176.
SACSAC-2004-ParkKLK #architecture #embedded #energy #memory management #performance
An energy efficient cache memory architecture for embedded systems (JWP, CGK, JHL, SDK), pp. 884–890.
SACSAC-2004-SanthanakrishnanACL #algorithm #named #self
GD-GhOST: a goal-oriented self-tuning caching algorithm (GS, AA, PKC, DL), pp. 1141–1145.
CGOCGO-2004-HazelwoodS #optimisation
Exploring Code Cache Eviction Granularities in Dynamic Optimization Systems (KMH, JES), pp. 89–99.
HPCAHPCA-2004-KharbutliISL #using
Using Prime Numbers for Cache Indexing to Eliminate Conflict Misses (MK, KI, YS, JL), pp. 288–299.
HPCAHPCA-2004-Michaud #capacity #execution #manycore #migration
Exploiting the Cache Capacity of a Single-Chip Multi-Core Processor with Execution Migration (PM), pp. 186–197.
HPCAHPCA-2004-NesbitS #using
Data Cache Prefetching Using a Global History Buffer (KJN, JES), pp. 96–105.
HPCAHPCA-2004-PengPL #performance
Signature Buffer: Bridging Performance Gap between Registers and Caches (LP, JKP, KL), pp. 164–175.
HPCAHPCA-2004-ZhuDDLZC #energy #power management #using
Reducing Energy Consumption of Disk Storage Using Power-Aware Cache Management (QZ, FMD, CFD, ZL, YZ, PC), pp. 118–129.
LCTESLCTES-2004-PatilSM #composition #simulation
Compositional static instruction cache simulation (KP, KS, FM), pp. 136–145.
LCTESLCTES-2004-StarnerA #realtime
Measuring the cache interference cost in preemptive real-time systems (JS, LA), pp. 146–154.
OSDIOSDI-2004-GniadyBH #classification
Program-Counter-Based Pattern Classification in Buffer Caching (CG, ARB, YCH), pp. 395–408.
SATSAT-2004-SangBBKP #component #effectiveness #learning
Combining Component Caching and Clause Learning for Effective Model Counting (TS, FB, PB, HAK, TP), pp. 20–28.
DACDAC-2003-Givargis #embedded #reduction
Improved indexing for cache miss reduction in embedded systems (TG), pp. 875–880.
DACDAC-2003-LiMR #analysis #interactive #modelling
Accurate timing analysis by modeling caches, speculation and their interaction (XL, TM, AR), pp. 466–471.
DACDAC-2003-ZhangCKK #embedded #interprocedural #optimisation #performance
Interprocedural optimizations for improving data cache performance of array-intensive embedded applications (WZ, GC, MTK, MK), pp. 887–892.
DATEDATE-2003-AgarwalRV #architecture #pipes and filters
Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology (AA, KR, TNV), pp. 10778–10783.
DATEDATE-2003-AkgulM #generative #named
PARLAK: Parametrized Lock Cache Generator (BSA, VJMI), pp. 11138–11139.
DATEDATE-2003-GhoshG #design #embedded
Analytical Design Space Exploration of Caches for Embedded Systems (AG, TG), pp. 10650–10655.
DATEDATE-2003-LuzKKS #behaviour #data transformation
Generalized Data Transformations for Enhancing Cache Behavior (VDLL, MTK, IK, US), pp. 10906–10911.
DATEDATE-2003-MemikKCK #approach #behaviour
An Integrated Approach for Improving Cache Behavior (GM, MTK, ANC, IK), pp. 10796–10801.
DATEDATE-2003-NicolaescuVN #embedded #power management
Reducing Power Consumption for High-Associativity Data Caches in Embedded Processors (DN, AVV, AN), pp. 11064–11069.
DATEDATE-2003-RebaudengoRV #analysis #fault #pipes and filters
An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor (MR, MSR, MV), pp. 10602–10607.
SIGMODSIGMOD-2003-BornhovdAKMPR #architecture #database #named #scalability
DBCache: Middle-tier Database Caching for Highly Scalable e-Business Architectures (CB, MA, SK, CM, HP, BR), p. 662.
SIGMODSIGMOD-2003-DeshpandeNGS #database
Cache-and-Query for Wide Area Sensor Databases (AD, SN, PBG, SS), pp. 503–514.
SIGMODSIGMOD-2003-LarsonG #database #sql
Transparent Mid-Tier Database Caching in SQL Server (PÅL, JG, JZ), p. 661.
VLDBVLDB-2003-AltinelBKMPR #adaptation #database
Cache Tables: Paving the Way for an Adaptive Database Cache (MA, CB, SK, CM, HP, BR), pp. 718–729.
VLDBVLDB-2003-HankinsP #adaptation
Data Morphing: An Adaptive, Cache-Conscious Storage Technique (RAH, JMP), pp. 417–428.
VLDBVLDB-2003-LiPHCAAT #scalability #web
CachePortal II: Acceleration of Very Large Scale Data Center-Hosted Database-driven Web Applications (WSL, OP, WPH, KSC, DA, YA, KT), pp. 1109–1112.
VLDBVLDB-2003-YangLH #mining #performance #query #xml
Efficient Mining of XML Query Patterns for Caching (LHY, MLL, WH), pp. 69–80.
TACASTACAS-2003-EmersonK #agile #model checking #protocol
Rapid Parameterized Model Checking of Snoopy Cache Coherence Protocols (EAE, VK), pp. 144–159.
STOCSTOC-2003-BrodalF #on the
On the limits of cache-obliviousness (GSB, RF), pp. 307–315.
ICALPICALP-2003-AlbersS #case study #documentation
A Study of Integrated Document and Connection Caching (SA, RvS), pp. 653–667.
ICALPICALP-2003-FranceschiniG
Optimal Cache-Oblivious Implicit Dictionaries (GF, RG), pp. 316–331.
ICEISICEIS-v1-2003-BatistaLS #integration #optimisation
Optimizing Access in a Data Integration System with Caching and Materialized Data (MdCMB, BFL, ACS), pp. 529–532.
ICEISICEIS-v1-2003-PfeiferW #approach
A Transparent Client-Side Caching Approach for Application Server Systems (DP, ZW), pp. 545–549.
ICEISICEIS-v4-2003-KammanahalliSGV #policy
A Time Zone Based Dynamic Cache Replacement Policy (HK, KS, SG, SV), pp. 494–497.
SACSAC-2003-FernandezCGPC #algorithm #multi
A New Cache Management Algorithm for Multimedia Storage Systems (JF, JC, FG, JMP, AC), pp. 956–960.
SACSAC-2003-GuH #internet #protocol #ubiquitous #web
Extended Internet Caching Protocol: A Foundation for Building Ubiquitous Web Caching (WG, AH), pp. 901–907.
SACSAC-2003-LaiTB #mobile #performance
Cost Efficient Broadcast Based Cache Invalidation for Mobile Environments (KYL, ZT, PB), pp. 871–877.
CGOCGO-2003-BerndlH #generative #profiling
Dynamic Profiling and Trace Cache Generation (MB, LJH), pp. 276–288.
CGOCGO-2003-CollardL #optimisation
Optimizations to Prevent Cache Penalties for the Intel ® Itanium 2 Processor (JFC, DML), pp. 105–114.
CGOCGO-2003-GibertSG #clustering #distributed #memory management #scheduling
Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache (EG, FJS, AG), pp. 193–203.
HPCAHPCA-2003-GassendSCDD #memory management #performance #verification
Caches and Hash Trees for Efficient Memory Integrity Verification (BG, GES, DEC, MvD, SD), pp. 295–306.
HPCAHPCA-2003-JeongD #algorithm
Cost-Sensitive Cache Replacement Algorithms (JJ, MD), pp. 327–337.
HPCAHPCA-2003-MemikRM
Just Say No: Benefits of Early Cache Miss Determinatio (GM, GR, WHMS), pp. 307–316.
ICSTSAT-2003-ZhangM #algorithm #case study #implementation #performance #satisfiability
Cache Performance of SAT Solvers: a Case Study for Efficient Implementation of Algorithms (LZ, SM), pp. 287–298.
DACDAC-2002-AgarwalLR #named #power management
DRG-cache: a data retention gated-ground cache for low power (AA, HL, KR), pp. 473–478.
DACDAC-2002-WolfSE #analysis #formal method
Associative caches in formal software timing analysis (FW, JS, RE), pp. 622–627.
DATEDATE-2002-HuVKI #power management
Power-Efficient Trace Caches (JSH, NV, MTK, MJI), p. 1091.
DATEDATE-2002-KandemirK #energy
Reducing Cache Access Energy in Array-Intensive Application (MTK, IK), p. 1092.
DATEDATE-2002-LiverisZSG #performance #program transformation
A Code Transformation-Based Methodology for Improving I-Cache Performance of DSP Applications (NDL, NDZ, DS, CEG), pp. 977–983.
DATEDATE-2002-PetrovO #embedded #performance
Power Efficient Embedded Processor Ip’s through Application-Specific Tag Compression in Data Caches (PP, AO), pp. 1065–1071.
DATEDATE-2002-TangGN #embedded #power management
Power Savings in Embedded Processors through Decode Filer Cache (WT, RKG, AN), pp. 443–448.
SIGMODSIGMOD-2002-AltinelLKMPLWB #database #named #web
DBCache: database caching for web application servers (MA, QL, SK, CM, HP, BGL, HW, LB), p. 612.
SIGMODSIGMOD-2002-AntonJLPZZ #database #web
Web caching for database applications with Oracle Web Cache (JA, LJ, XL, JP, ZZ, TZ), pp. 594–599.
SIGMODSIGMOD-2002-ChenGMV #optimisation #performance
Fractal prefetching B±Trees: optimizing both cache and disk performance (SC, PBG, TCM, GV), pp. 157–168.
SIGMODSIGMOD-2002-ChenRW #named #query #semantics #xml
XCache: a semantic caching system for XML queries (LC, EAR, SW), p. 618.
SIGMODSIGMOD-2002-KalnisNOPT #adaptation #distributed #network #peer-to-peer
An adaptive peer-to-peer network for distributed caching of OLAP results (PK, WSN, BCO, DP, KLT), pp. 25–36.
SIGMODSIGMOD-2002-LuoKMPWLN #database
Middle-tier database caching for e-business (QL, SK, CM, HP, HW, BGL, JFN), pp. 600–611.
SIGMODSIGMOD-2002-OlstonW
Best-effort cache synchronization with source cooperation (CO, JW), pp. 73–84.
SIGMODSIGMOD-2002-Team #approach
Mid-tier caching: the TimesTen approach (TTT), pp. 588–593.
VLDBVLDB-2002-CandanALPH #architecture #multi
View Invalidation for Dynamic Content Caching in Multitiered Architectures (KSC, DA, WSL, OP, WPH), pp. 562–573.
VLDBVLDB-2002-KounevB #data access
Improving Data Access of J2EE Applications by Exploiting Asynchronous Messaging and Caching Services (SK, APB), pp. 574–585.
VLDBVLDB-2002-LiHKSPAC #web
Issues and Evaluations of Caching Solutions for Web Application Acceleration (WSL, WPH, DVK, RS, OP, DA, KSC), pp. 1019–1030.
VLDBVLDB-2002-SeifertS #hybrid #multi #policy
A Multi-version Cache Replacement and Prefetching Policy for Hybrid Data Delivery Environments (AS, MHS), pp. 850–861.
SCAMSCAM-J-2001-BartoliniP02 #embedded #program transformation
A cache-aware program transformation technique suitable for embedded systems (SB, CAP), pp. 783–795.
IWPCIWPC-2002-TakadaOI #information management #lightweight #slicing #using
Dependence-Cache Slicing: A Program Slicing Method Using Lightweight Dynamic Information (TT, FO, KI), pp. 169–177.
PLDIPLDI-2002-BurtscherDH #classification #predict
Static Load Classification for Improving the Value Predictability of Data-Cache Misses (MB, AD, MH), pp. 222–233.
STOCSTOC-2002-ArgeBDHM #algorithm #graph #queue
Cache-oblivious priority queue and graph algorithm applications (LA, MAB, EDD, BHM, JIM), pp. 268–276.
ICALPICALP-2002-BenderCR #algorithm #exponential #performance
Exponential Structures for Efficient Cache-Oblivious Algorithms (MAB, RC, RR), pp. 195–207.
ICALPICALP-2002-BrodalF
Cache Oblivious Distribution Sweeping (GSB, RF), pp. 426–438.
ICEISICEIS-2002-Bravo
Cache Post-Relational Technology (CLB), p. 33.
ICEISICEIS-2002-ChouT
Predicate-Based Caching Scheme for Wireless Environments (PLC, ZT), pp. 57–64.
ICEISICEIS-2002-TariTD #adaptation #database #design #query
Designing an Object and Query Cache Management System for CODAR Database Adapter (ZT, AT, VD), pp. 290–295.
CIKMCIKM-2002-AmiriPT #data transformation #self #web
A self-managing data cache for edge-of-network web applications (KA, SP, RT), pp. 177–185.
CIKMCIKM-2002-ChangC #algorithm #integration #web
A new cache replacement algorithm for the integration of web caching and prefectching (CYC, MSC), pp. 632–634.
CIKMCIKM-2002-Hara #information management #mobile
Cooperative caching by mobile clients in push-based information systems (TH), pp. 186–193.
OOPSLAOOPSLA-2002-BjornssonS #collaboration #named
BuddyCache: high-performance object storage for collaborative strong-consistency applications in a WAN (MEB, LS), pp. 26–39.
POPLPOPL-2002-PetrankR
The hardness of cache conscious data placement (EP, DR), pp. 101–112.
SACSAC-2002-Abu-GhazalehP #execution #mobile #performance #towards #verification
Verification caching: towards efficient and secure mobile code execution environments (NBAG, DSP), pp. 964–968.
SACSAC-2002-FujimotoNHN #latency #order
Response order rearrangement on a caching proxy for reducing WWW latency (HF, TN, KH, SN), pp. 845–851.
SACSAC-2002-WangHTN #ad hoc #network
AODV compatible routing with extensive use of cache information in ad-hoc networks (WGW, TH, MT, SN), pp. 852–859.
ASPLOSASPLOS-2002-KimBK #adaptation
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches (CK, DB, SWK), pp. 211–222.
ASPLOSASPLOS-2002-KimPR #interface #network #throughput #web
Increasing web server throughput with network interface data caching (HyK, VSP, SR), pp. 239–250.
CCCC-2002-CilioC #using
Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation (AGMC, HC), pp. 247–260.
HPCAHPCA-2002-UnsalKKM #energy #framework
The Minimax Cache: An Energy-Efficient Framework for Media Processors (OSÜ, IK, CMK, CAM), pp. 131–140.
HPCAHPCA-2002-VeraX #behaviour
Let’s Study Whole-Program Cache Behaviour Analytically (XV, JX), pp. 175–186.
HPCAHPCA-2002-YangPFV #design #energy
Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay (SHY, MDP, BF, TNV), pp. 151–161.
ISMMISMM-2002-Aggarwal
Software caching vs. prefetching (AA), pp. 263–268.
ISMMISMM-2002-KaplanMC #adaptation
Adaptive caching for demand prepaging (SFK, LAM, MFC), pp. 221–232.
LCTESLCTES-SCOPES-2002-HuKVISZ #morphism #polymorphism
Compiler-directed cache polymorphism (JSH, MTK, NV, MJI, HS, WZ), pp. 165–174.
VMCAIVMCAI-2002-BaukusLS #liveness #protocol #safety #verification
Parameterized Verification of a Cache Coherence Protocol: Safety and Liveness (KB, YL, KS), pp. 317–330.
DATEDATE-2001-KulkarniGMCM #embedded #layout #multi
Cache conscious data layout organization for embedded multimedia applications (CK, CG, MM, FC, HDM), pp. 686–693.
VLDBVLDB-2001-AilamakiDHS #performance #weaving
Weaving Relations for Cache Performance (AA, DJD, MDH, MS), pp. 169–180.
VLDBVLDB-2001-ChaHKK #concurrent #in memory #multi
Cache-Conscious Concurrency Control of Main-Memory Indexes on Shared-Memory Multiprocessor Systems (SKC, SH, KK, KK), pp. 181–190.
VLDBVLDB-2001-DattaDTVRF #case study #comparative #web
A Comparative Study of Alternative Middle Tier Caching Solutions to Support Dynamic Web Content Acceleration (AD, KD, HMT, DEV, KR, DF), pp. 667–670.
VLDBVLDB-2001-LahiriSCMC #clustering
Cache Fusion: Extending Shared-Disk Clusters with Shared Caches (TL, VS, WC, NM, SC), pp. 683–686.
VLDBVLDB-2001-LiCHPALHAY #e-commerce #web
Cache Portal: Technology for Accelerating Database-driven e-commerce Web Sites (WSL, KSC, WPH, OP, DA, QL, WKWH, YA, CY), pp. 699–700.
VLDBVLDB-2001-LuoN #web
Form-Based Proxy Caching for Database-Backed Web Sites (QL, JFN), pp. 191–200.
VLDBVLDB-2001-Mohan #web
Caching Technologies for Web Applications (CM).
PLDIPLDI-2001-ChatterjeePHL #analysis #behaviour
Exact Analysis of the Cache Behavior of Nested Loops (SC, EP, PJH, ARL), pp. 286–297.
ICALPICALP-2001-CohenHK #aspect-oriented #consistency #distributed #performance #using
Performance Aspects of Distributed Caches Using TTL-Based Consistency (EC, EH, HK), pp. 744–756.
FMFME-2001-StoySA #correctness #protocol #proving
Proofs of Correctness of Cache-Coherence Protocols (JES, XS, A), pp. 43–71.
CIKMCIKM-2001-MazumdarPC #mobile
Caching Constrained Mobile Data (SM, MP, PKC), pp. 442–449.
KDDKDD-2001-YangZL #mining #modelling #predict #web
Mining web logs for prediction models in WWW caching and prefetching (QY, HHZ, ITYL), pp. 473–478.
SIGIRSIGIR-2001-SaraivaMFMRZ #scalability
Rank-Preserving Two-Level Caching for Scalable Search Engines (PCS, ESdM, RCF, WMJ, BARN, NZ), pp. 51–58.
SACSAC-2001-Leopold #optimisation #reuse
Exploiting non-uniform reuse for cache optimization (CL), pp. 560–564.
SACSAC-2001-MinhA #communication #distributed #mobile #network #replication
User profile replication with caching for distributed location management in mobile communication networks (HNM, HRvA), pp. 381–386.
SACSAC-2001-SonahI #performance #video
Considering video characteristics for improved cache performance in VOD systems (BS, MRI), pp. 429–433.
SACSAC-2001-TranHJ #design #multi #physics
A generalized air-cache design for efficiently boradcasting on multiple physical channels (DAT, KAH, NJ), pp. 387–392.
SACSAC-2001-YajimaHTN #correlation #scheduling
Scheduling and caching strategies for broadcasting correlated data (EY, TH, MT, SN), pp. 504–510.
HPCAHPCA-2001-YangPFRV #approach #architecture
An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches (SHY, MDP, BF, KR, TNV), pp. 147–157.
HPDCHPDC-2001-Cuenca-AcunaN #clustering #middleware
Cooperative Caching Middleware for Cluster-Based Servers (FMCA, TDN), pp. 303–314.
LCTESLCTES-OM-2001-KadayifKVIR #architecture
Morphable Cache Architectures: Potential Benefits (IK, MTK, NV, MJI, JR), pp. 128–137.
SOSPSOSP-2001-RowstronD #peer-to-peer #persistent #scalability
Storage Management and Caching in PAST, A Large-scale, Persistent Peer-to-peer Storage Utility (AITR, PD), pp. 188–201.
DACDAC-2000-ChiouJRD #embedded #memory management #using
Application-specific memory management for embedded systems using software-controlled caches (DC, PJ, LR, SD), pp. 416–419.
DACDAC-2000-EisnerSHNNV #design #hardware #protocol
A methodology for formal design of hardware control with application to cache coherence protocols (CE, IS, RH, WGN, KLN, KV), pp. 724–729.
DATEDATE-2000-HarmszeTM #memory management
Memory Arbitration and Cache Management in Stream-Based Systems (FH, AHT, JLvM), pp. 257–262.
DATEDATE-2000-HenkeGV #design #estimation #performance
Fast Cache and Bus Power Estimation for Parameterized System-on-a-Chip Design (JH, TG, FV), pp. 333–338.
SIGMODSIGMOD-2000-RaoR #in memory #memory management
Making B+-Trees Cache Conscious in Main Memory (JR, KAR), pp. 475–486.
VLDBVLDB-2000-YagoubFIV #data-driven #web
Caching Strategies for Data-Intensive Web Sites (KY, DF, VI, PV), pp. 188–199.
PLDIPLDI-2000-HeydonLY #dependence #precise #using
Caching function calls using precise dependencies (AH, RL, YY), pp. 311–320.
IFLIFL-2000-Grelck #array #effectiveness #layout
Improving Cache Effectiveness through Array Data Layout Manipulation in SAC (CG), pp. 231–248.
CAiSECAiSE-2000-SignerEN #database #web
A Personal Assistant for Web Database Caching (BS, AE, MCN), pp. 64–78.
CIKMCIKM-2000-LanBOT
Rule-Assisted Prefetching in Web-Server Caching (BL, SB, BCO, KLT), pp. 504–511.
CIKMCIKM-2000-XuHLL #named #on-demand #performance #policy
SAIU: An Efficient Cache Replacement Policy for Wireless On-demand Broadcasts (JX, QH, DLL, WCL), pp. 46–53.
ICMLICML-2000-Rosenberg #image #statistics #using
Image Color Constancy Using EM and Cached Statistics (CRR), pp. 799–806.
SIGIRSIGIR-2000-LuM #information retrieval #replication
Partial collection replication versus caching for information retrieval systems (ZL, KSM), pp. 248–255.
ASPLOSASPLOS-2000-ZhangYG #design #locality
Frequent Value Locality and Value-Centric Data Cache Design (YZ, JY, RG), pp. 150–159.
HPCAHPCA-2000-ChatterjeeS #matrix
Cache-Efficient Matrix Transposition (SC, SS), pp. 195–205.
HPCAHPCA-2000-ChiuehP #design #memory management #network
Cache Memory Design for Network Processors (TcC, PP), pp. 409–418.
HPCAHPCA-2000-JourdanRAEYR
eXtended Block Cache (SJ, LR, YA, ME, AY, RR), pp. 61–70.
HPCAHPCA-2000-NeefsVB #latency #multi
A Technique for High Bandwidth and Deterministic Low Latency Load/Store Accesses to Multiple Cache Banks (HN, HV, KDB), pp. 313–324.
HPCAHPCA-2000-RamirezLV
Trace Cache Redundancy: Red & Blue Traces (AR, JLLP, MV), pp. 325–333.
HPCAHPCA-2000-VartanianBD #3d #parallel
The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches (AV, JLB, NDT), pp. 399–408.
HPCAHPCA-2000-WongB #behaviour #policy
Modified LRU Policies for Improving Second-Level Cache Behavior (WAW, JLB), pp. 49–60.
ISMMISMM-2000-Boehm #garbage collection
Reducing Garbage Collector Cache Misses (HJB), pp. 59–64.
LCTESLCTES-2000-ParkLLHK #embedded #performance
A Power Efficient Cache Structure for Embedded Processors Based on the Dual Cache Structure (GHP, KWL, JHL, TDH, SDK), pp. 162–177.
CAVCAV-2000-Delzanno #automation #protocol #verification
Automatic Verification of Parameterized Cache Coherence Protocols (GD), pp. 53–68.
ICLPCL-2000-DutraCB #logic programming #parallel #protocol
The Impact of Cache Coherence Protocols on Parallel Logic Programming Systems (IdCD, VSC, RB), pp. 1285–1299.
DATEDATE-1999-FornaciariSS #embedded #encoding
Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems (WF, DS, CS), pp. 762–763.
PODSPODS-1999-CluetKS #using
Using LDAP Directory Caches (SC, OK, DS), pp. 273–284.
VLDBVLDB-1999-HaasKU #query
Loading a Cache with Query Results (LMH, DK, IU), pp. 351–362.
VLDBVLDB-1999-Norvag #database #object-oriented #persistent
The Persistent Cache: Improving OID Indexing in Temporal Object-Oriented Database Systems (KN), pp. 66–77.
VLDBVLDB-1999-RaoR #in memory #memory management
Cache Conscious Indexing for Decision-Support in Main Memory (JR, KAR), pp. 78–89.
VLDBVLDB-1999-VorugantiOU #adaptation #architecture #hybrid
An Adaptive Hybrid Server Architecture for Client Caching ODBMSs (KV, MTÖ, RCU), pp. 150–161.
ITiCSEITiCSE-1999-CabezaCR #behaviour #education #memory management #named
CacheSim: a cache simulator for teaching memory hierarchy behaviour (MLCC, MIGC, MLR), p. 181.
PLDIPLDI-1999-ChilimbiDL
Cache-Conscious Structure Definition (TMC, BD, JRL), pp. 13–24.
PLDIPLDI-1999-ChilimbiHL #layout
Cache-Conscious Structure Layout (TMC, MDH, JRL), pp. 1–12.
PLDIPLDI-1999-DingK #performance #runtime
Improving Cache Performance in Dynamic Applications through Data and Computation Reorganization at Run Time (CD, KK), pp. 229–241.
PLDIPLDI-1999-SongL #locality
New Tiling Techniques to Improve Cache Temporal Locality (YS, ZL), pp. 215–228.
STOCSTOC-1999-CohenK #web
Exploiting Regularities in Web Traffic Patterns for Cache Replacement (EC, HK), pp. 109–118.
STOCSTOC-1999-CohenKZ
Connection Caching (EC, HK, UZ), pp. 612–621.
ICALPICALP-1999-Sanders #multi #sequence #set
Accessing Multiple Sequences Through Set Associative Caches (PS), pp. 655–664.
FMFM-v2-1999-AkhianiDHLSTY #verification
Cache Coherence Verification with TLA+ (HA, DD, PH, LL, JS, MRT, YY), pp. 1871–1872.
AdaEuropeAdaEurope-1999-RogersW #fault tolerance #incremental
An Incremental RecoveryCache Supporting Sotware Fault Tolerance (PR, AJW), pp. 385–396.
CAiSECAiSE-1999-SandholmTSW #corba #design
Design of Object Caching in a CORBA OTM System (TS, ST, DS, EW), pp. 241–254.
ICEISICEIS-1999-ShinKHJKP #network
Client Cache-Index Forwarding for Reducing Network Traffic over Wireless Network for the WWW (HSS, GHK, SJH, ARJ, GHK, MSP), pp. 717–724.
CIKMCIKM-1999-LeeC #query #semantics #web
Semantic Caching via Query Matching for Web Sources (DL, WWC), pp. 77–85.
CIKMCIKM-1999-WuY #replication #web
Local Replication for Proxy Web Caches with Hash Routing (KLW, PSY), pp. 69–76.
KDDKDD-1999-NagDD #interactive #using
Using a Knowledge Cache for Interactive Discovery of Association Rules (BN, PD, DJD), pp. 244–253.
OOPSLAOOPSLA-1999-LipkindPK #distributed #parallel
Object Views: Language Support for Intelligent Object Caching in Parallel and Distributed Computations (IL, IP, VK), pp. 447–460.
SACSAC-1999-QiaoGLGL #optimisation
Cache Optimization in Scientific Computations (XQ, QG, ZL, XG, XL), pp. 548–552.
CCCC-1999-RubinBR #data type #recursion
Virtual Cache Line: A New Technique to Improve Cache Exploitation for Recursive Data Structures (SR, DB, MR), pp. 259–273.
HPCAHPCA-1999-HuYN #named #reliability
RAPID-Cache — A Reliable and Inexpensive Write Cache for Disk I/O Systems (YH, QY, TN), pp. 204–213.
HPCAHPCA-1999-InoueKM #logic #memory management
Dynamically Variable Line-Size Cache Exploiting High On-Chip Memory Bandwidth of Merged DRAM/Logic LSIs (KI, KK, KM), pp. 218–222.
HPCAHPCA-1999-IyerB #framework #latency #memory management #multi
Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors (RRI, LNB), pp. 152–160.
HPCAHPCA-1999-MichaelN #design #memory management #multi #performance #scalability
Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors (MMM, AKN), pp. 142–151.
HPCAHPCA-1999-RheeL #network #scalability
A Scalable Cache Coherent Scheme Exploiting Wormhole Routing Networks (YR, JL), pp. 223–226.
HPDCHPDC-1999-TierneyLCHHD #data-driven #distributed
A Network-Aware Distributed Storage Cache for Data Intensive Environments (BT, JL, BC, MH, JH, FLD), pp. 185–193.
OSDIOSDI-1999-PaiDZ #named
IO-Lite: A Unified I/O Buffering and Caching System (VSP, PD, WZ), pp. 15–28.
SOSPSOSP-1999-WolmanVSCKL #on the #performance #web
On the scale and performance of cooperative Web proxy caching (AW, GMV, NS, NC, ARK, HML), pp. 16–31.
DATEDATE-1998-PandaDN #embedded
Data Cache Sizing for Embedded Processor Applications (PRP, NDD, AN), pp. 925–926.
SIGMODSIGMOD-1998-AndersonAF
Oracle Rdb’s Record Caching Model (RA, GA, RF), pp. 526–527.
SIGMODSIGMOD-1998-DeshpandeRSN #multi #query #using
Caching Multidimensional Queries Using Chunks (PD, KR, AS, JFN), pp. 259–270.
SIGMODSIGMOD-1998-LacroixSC #exclamation #web #what
User-oriented smart-cache for the Web: What You Seek is What You Get! (ZL, AS, RC), pp. 572–574.
VLDBVLDB-1998-OzsuVU #algorithm #consistency
An Asynchronous Avoidance-Based Cache Consistency Algorithm for Client Caching DBMSs (MTÖ, KV, RCU), pp. 440–451.
FMFM-1998-FujitaRH #case study #experience #parallel #protocol #verification
Two Real Formal Verification Experiences: ATM Switch Chip and Parallel Cache Protocol (MF, SPR, AJH), pp. 281–295.
CAiSECAiSE-1998-JinS #multi
Global Cache Management for Multi-class Workloads in Data Warehouses (SJ, XS), pp. 77–91.
ECOOPECOOP-1998-FerreiraS #algorithm #correctness #distributed #garbage collection #modelling #proving
Modelling a Distributed Cached Store for Garbage Collection: The Algorithm and Its Correctness Proof (PF, MS), pp. 234–259.
SACSAC-1998-Hosseini-Khayat #algorithm
Replacement algorithms for object caching (SHK), pp. 90–97.
ASPLOSASPLOS-1998-CalderKJA
Cache-Conscious Data Placement (BC, CK, SJ, TMA), pp. 139–149.
ASPLOSASPLOS-1998-GhoshMM #analysis #precise #program transformation
Precise Miss Analysis for Program Transformations with Caches of Arbitrary Associativity (SG, MM, SM), pp. 228–239.
ASPLOSASPLOS-1998-PeirLH #adaptation #behaviour #memory management
Capturing Dynamic Memory Reference Behavior with Adaptive Cache Topology (JKP, YL, WWH), pp. 240–250.
HPCAHPCA-1998-GopalVSS #version control
Speculative Versioning Cache (SG, TNV, JES, GSS), pp. 195–205.
HPCAHPCA-1998-KalamatianosK #order #performance
Temporal-Based Procedure Reordering for Improved Instruction Cache Performance (JK, DRK), pp. 244–253.
HPCAHPCA-1998-MogaD #clustering #effectiveness #network
The Effectiveness of SRAM Network Caches in Clustered DSMs (AM, MD), pp. 103–112.
HPDCHPDC-1998-HolmedahlSY #distributed #web
Cooperative Caching of Dynamic Content on a Distributed Web Server (VH, BS, TY), pp. 243–250.
HPDCHPDC-1998-ParkD #architecture #clustering
The Effect of Clustering in Client-Caching Architectures (JHP, AD), pp. 354–355.
ISMMISMM-1998-ChilimbiL #garbage collection #using
Using Generational Garbage Collection To Implement Cache-Conscious Data Placement (TMC, JRL), pp. 37–48.
LCTESLCTES-1998-FerdinandW #behaviour #on the #predict #realtime
On Predicting Data Cache Behavior for Real-Time Systems (CF, RW), pp. 16–30.
LCTESLCTES-1998-KastnerT #scheduling
Cache-Sensitive Pre-runtime Scheduling (DK, ST), pp. 131–145.
LCTESLCTES-1998-LeeLLMK #memory management #realtime #scheduling
Limited Preemptible Scheduling to Embrace Cache Memory in Real-Time Systems (SL, CGL, ML, SLM, CSK), pp. 51–64.
CAVCAV-1998-Moore #proving
An ACL2 Proof of Write Invalidate Cache Coherence (JSM), pp. 29–38.
ICTSSIWTCS-1998-KahloucheVZ #automation #empirical #execution #generative #industrial #protocol #testing
An Industrial Experiment in Automatic Generation of Executable Test Suites for a Cache Coherency Protocol (HK, CV, MZ), pp. 211–226.
SIGMODSIGMOD-1997-ZaharioudakisC #concurrent #consistency #database
Highly Concurrent Cache Consistency for Indices in Client-Server Database Systems (MZ, MJC), pp. 50–61.
PLDIPLDI-1997-HashemiKC #performance #using
Efficient Procedure Mapping Using Cache Line Coloring (AHH, DRK, BC), pp. 171–182.
STOCSTOC-1997-Irani #multi #web
Page Replacement with Multi-Size Pages and Applications to Web Caching (SI), pp. 701–710.
STOCSTOC-1997-KargerLLPLL #consistency #distributed #protocol #random #web
Consistent Hashing and Random Trees: Distributed Caching Protocols for Relieving Hot Spots on the World Wide Web (DRK, EL, FTL, RP, MSL, DL), pp. 654–663.
CIKMCIKM-1997-AggarwalY #on the #web
On Disk Caching of Web Objects in Proxy Servers (CCA, PSY), pp. 238–245.
CIKMCIKM-1997-ChungLH #consistency #maintenance
A Contention Based Dynamic Consistency Maintenance Scheme for Client Cache (IC, JL, CSH), pp. 363–370.
SACSAC-1997-LeongS #adaptation #database #mobile #on the
On adaptive caching in mobile databases (HVL, AS), pp. 302–309.
HPCAHPCA-1997-Abdel-ShafiHAA #communication #evaluation #multi
An Evaluation of Fine-Grain Producer-Initiated Communication in Cache-Coherent Multiprocessors (HAS, JH, SVA, VSA), pp. 204–215.
HPCAHPCA-1997-QinB #communication #multi #on the #performance
On the Use and Performance of Explicit Communication Primitives in Cache-Coherent Multiprocessor Systems (XQ, JLB), pp. 182–193.
HPCAHPCA-1997-ZhangT
Reducing Remote Conflict Misses: NUMA with Remote Cache versus COMA (ZZ, JT), pp. 272–281.
HPDCHPDC-1997-HuL #adaptation #mobile
Adaptive Cache Invalidation Methods in Mobile Environments (QH, DLL), pp. 264–273.
SOSPSOSP-1997-CastroALM #adaptation #distributed #hybrid #named
HAC: Hybrid Adaptive Caching for Distributed Storage Systems (MC, AA, BL, ACM), pp. 102–115.
SIGMODSIGMOD-1996-AdaliCPS #distributed #optimisation #query
Query Caching and Optimization in Distributed Mediator Systems (SA, KSC, YP, VSS), pp. 137–148.
SIGMODSIGMOD-1996-HellersteinN #execution #query
Query Execution Techniques for Caching Expensive Methods (JMH, JFN), pp. 423–434.
VLDBVLDB-1996-DarFJST #semantics
Semantic Data Caching and Replacement (SD, MJF, BÞJ, DS, MT), pp. 330–341.
VLDBVLDB-1996-Klots #parallel
Cache Coherency in Oracle Parallel Server (BK), p. 583.
VLDBVLDB-1996-ScheuermannSV
WATCHMAN : A Data Warehouse Intelligent Cache Manager (PS, JS, RV), pp. 51–62.
SASSAS-1996-AltFMW #abstract interpretation #behaviour #predict
Cache Behavior Prediction by Abstract Interpretation (MA, CF, FM, RW), pp. 52–66.
ICFPICFP-1996-AbadiLL #analysis #dependence
Analysis and Caching of Dependencies (MA, BWL, JJL), pp. 83–91.
ASPLOSASPLOS-1996-ChenNCARL #operating system
The Rio File Cache: Surviving Operating System Crashes (PMC, WTN, SC, CMA, GR, DEL), pp. 74–83.
ASPLOSASPLOS-1996-PeirHYO #performance
Improving Cache Performance with Balanced Tag and Data Paths (JKP, WWH, HCY, SO), pp. 268–278.
ASPLOSASPLOS-1996-PhilbinEADL #concurrent #locality #scheduling #thread
Thread Scheduling for Cache Locality (JP, JE, OJA, CCD, KL), pp. 60–71.
HPCAHPCA-1996-AlexanderK #design #distributed #memory management
Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems (TA, GK), pp. 254–263.
HPCAHPCA-1996-AndersonK #adaptation #hybrid #protocol
Two Adaptive Hybrid Cache Coherency Protocols (CA, ARK), pp. 303–313.
HPCAHPCA-1996-CalderGE #predict
Predictive Sequential Associative Cache (BC, DG, JSE), pp. 244–253.
HPCAHPCA-1996-IyengarTB #infinity #modelling
Representative Traces for Processor Models with Infinite Cache (VSI, LT, PB), pp. 62–72.
HPCAHPCA-1996-NayfehOS #clustering #multi
The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors (BAN, KO, JPS), pp. 74–84.
HPCAHPCA-1996-ReisnerW #parallel #protocol
A Cache Coherency Protocol for Optically Connected Parallel Computer Systems (JAR, TSW), pp. 222–231.
HPCAHPCA-1996-TakahashiTKS #multi #protocol
A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor (MT, HT, EK, SS), pp. 314–322.
HPCAHPCA-1996-XiaT #multi #operating system #performance
Improving the Data Cache Performance of Multiprocessor Operating Systems (CX, JT), pp. 85–94.
HPDCHPDC-1996-OguchiO #case study #distributed #network
A Study of Caching Proxy Mechanisms Realized on Wide Area Distributed Networks (MO, KO), pp. 443–449.
PEPMPEPM-1995-LiuT
Caching Intermediate Results for Program Improvement (YAL, TT), pp. 190–201.
PLDIPLDI-1995-ColemanM #layout #using
Tile Size Selection Using Cache Organization and Data Layout (SC, KSM), pp. 279–290.
PLDIPLDI-1995-Ertl #stack
Stack Caching for Interpreters (MAE), pp. 315–327.
FPCAFPCA-1995-GoncalvesA #performance #source code
Cache Performance of Fast-Allocating Programs (MJRG, AWA), pp. 293–305.
SACSAC-1995-HuizingaH #distributed
Two-level client caching and disconnected operation of notebook computers in distributed systems (DMH, KAH), pp. 390–395.
HPCAHPCA-1995-CitronR #using
Creating a Wider Bus Using Caching Techniques (DC, LR), pp. 90–99.
HPCAHPCA-1995-KimMJAJK #effectiveness #named #problem
U-Cache: A Cost-Effective Solution to the Synonym Problem (JK, SLM, SJ, BA, DKJ, CSK), pp. 243–252.
HPCAHPCA-1995-KontothanassisS #multi #scalability
Software Cache Coherence for Large Scale Multiprocessors (LIK, MLS), pp. 286–295.
HPCAHPCA-1995-McKeeW
Access Ordering and Memory-Conscious Cache Utilization (SAM, WAW), pp. 253–262.
HPCAHPCA-1995-Seznec
DASC Cache (AS), pp. 134–143.
HPCAHPCA-1995-TemamD
Software Assistance for Data Caches (OT, ND), pp. 154–163.
HPCAHPCA-1995-TheobaldHG #design #hybrid
A Design Frame for Hybrid Access Caches (KBT, HHJH, GRG), pp. 144–153.
HPCAHPCA-1995-TorrellasXD #operating system #optimisation #performance
Optimizing Instruction Cache Performance for Operating System Intensive Workloads (JT, CX, RLD), pp. 360–369.
HPCAHPCA-1995-TreiberM #design #simulation
Simulation Study of Cached RAID5 Designs (KT, JM), pp. 186–197.
HPCAHPCA-1995-WesterholzHPH #memory management #performance
Improving Performance by Cache Driven Memory Management (KW, SH, JP, CH), pp. 234–242.
HPDCHPDC-1995-SalehiKT #network #parallel #performance #scheduling
The Performance Impact of Scheduling for Cache Affinity in Parallel Network Processing (JDS, JFK, DFT), pp. 66–77.
ISMMIWMM-1995-PhalkeG #architecture
A Miss History-based Architecture for Cache Prefetching (VP, BG), pp. 381–398.
LCTESLCT-RTS-1995-Mueller #clustering #compilation
Compiler Support for Software-Based Cache Partitioning (FM), pp. 125–133.
PPoPPPPoPP-1995-CarlisleR #migration
Software Caching and Computation Migration in Olden (MCC, AR), pp. 29–38.
SOSPSOSP-1995-HeidemannP #performance
Performance of Cache Coherence in Stackable Filing (JSH, GJP), pp. 127–142.
SOSPSOSP-1995-PattersonGGSZ
Informed Prefetching and Caching (RHP, GAG, EG, DS, JZ), pp. 79–95.
DATEEDAC-1994-NguyenTDTV #cpu #logic #synthesis #verification
Logic Synthesis and Verification of the CPU and Caches of a Mainframe System (HNN, JPT, LD, MT, PV), pp. 60–64.
PODSPODS-1994-RamaswamyS
Path Caching: A Technique for Optimal External Searching (SR, SS), pp. 25–35.
SIGMODSIGMOD-1994-BarbaraI #mobile
Sleepers and Workaholics: Caching Strategies in Mobile Environments (DB, TI), pp. 1–12.
VLDBVLDB-1994-ShatdalKN #algorithm #query #relational
Cache Conscious Algorithms for Relational Query Processing (AS, CK, JFN), pp. 510–521.
CSEETCSEE-1994-Prete #education #named #visual notation
Cachesim: A Graphical Software Environment to Support the Teaching of Computer Systems with Cache Memories (CAP), pp. 317–327.
PLDIPLDI-1994-Reinhold #garbage collection #performance #source code
Cache Performance of Garbage-Collected Programs (MBR), pp. 206–217.
SASSAS-1994-MuellerW #analysis #behaviour #on the fly #performance #simulation
Efficient On-the-fly Analysis of Program Behavior and Static Cache Simulation (FM, DBW), pp. 101–115.
CIKMCIKM-1994-ArensK #representation #reuse
Intelligent Caching: Selecting, Representing, and Reusing Data in an Information Server (YA, CAK), pp. 433–438.
ASPLOSASPLOS-1994-BershadLRC #scalability
Avoiding Conflict Misses Dynamically in Large Direct-Mapped Caches (BNB, DL, THR, JBC), pp. 158–170.
ASPLOSASPLOS-1994-MaynardDO #multi #performance
Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads (AMGM, CMD, BRO), pp. 145–156.
ASPLOSASPLOS-1994-SkeppstedtS #algorithm #compilation #protocol
Simple Compiler Algorithms to Reduce Ownership Operhead in Cache Coherence Protocols (JS, PS), pp. 286–296.
ASPLOSASPLOS-1994-WooSH #multi #performance
The Performance Advantages of Integrating Block Data Trabsfer in Cache-Coherent Multiprocessors (SCW, JPS, JLH), pp. 219–229.
CCCC-1994-MendlsonPS #optimisation
Compile Time Instruction Cache Optimizations (AM, SSP, RS), pp. 404–418.
CAVCAV-1994-Graf #abstraction #distributed #memory management #using #verification
Verification of a Distributed Cache Memory by Using Abstractions (SG), pp. 207–219.
SIGMODSIGMOD-1993-TomasicG #database #distributed #information retrieval #scalability
Caching and Database Scaling in Distributed Shard-Nothing Information Retrieval Systems (AT, HGM), pp. 129–138.
VLDBVLDB-1993-FranklinCL #database
Local Disk Caching for Client-Server Database Systems (MJF, MJC, ML), pp. 641–655.
PLDIPLDI-1993-GrunwaldZH #locality #memory management
Improving the Cache Locality of Memory Allocation (DG, BGZ, RH), pp. 177–186.
STOCSTOC-1993-BernGR #algorithm #online
On-line algorithms for cache sharing (MWB, DHG, AR), pp. 422–430.
HPDCHPDC-1993-BiswasRTK #analysis #distributed #file system #performance
Performance Analysis of Distributed File Systems with Non-Volatile Caches (PB, KKR, DFT, CMK), pp. 252–262.
PPoPPPPoPP-1993-SandhuGZ #approach #multi
The Shared Regions Approach to Software Cache Coherence on Multiprocessors (HSS, BG, SZ), pp. 229–238.
LISPLFP-1992-WilsonLM #garbage collection
Caching Considerations for Generational Garbage Collection (PRW, MSL, TGM), pp. 32–42.
OOPSLAOOPSLA-1992-AndreR #incremental #optimisation
Optimizing Method Search with Lookup Caches and Incremental Coloring (PA, JCR), pp. 110–126.
PPDPPLILP-1992-PenningsSV #evaluation #incremental #using
Using Cached Functions and Constructors for Incremental Attribute Evaluation (MP, SDS, HV), pp. 130–144.
SOSPSOSP-WIP-1991-HartyC92 #memory management #physics #using
Application-Controlled Physical Memory using External Page-Cache Management (Abstract) (KH, DRC), p. 19.
ASPLOSASPLOS-1992-ChenB #latency #memory management
Reducing Memory Latency via Non-blocking and Prefetching Caches (TFC, JLB), pp. 51–61.
ASPLOSASPLOS-1992-ChiuehK #physics
Eliminating the Address Translation Bottleneck for Physical Address Cache (TcC, RHK), pp. 137–148.
ASPLOSASPLOS-1992-HartyC #memory management #physics #using
Application-Controlled Physical Memory using External Page-Cache Management (KH, DRC), pp. 187–197.
ASPLOSASPLOS-1992-TorrellasGH #multi #operating system #performance
Characterizing the Caching and Synchronization Performance of a Multiprocessor Operating System (JT, AG, JLH), pp. 162–174.
ASPLOSASPLOS-1992-VeenstraF #evaluation #hybrid #performance #protocol
A Performance Evaluation of Optimal Hybrid Cache Coherency Protocols (JEV, RJF), pp. 149–160.
ASPLOSASPLOS-1992-WheelerB #consistency
Consistency Management for Virtually Indexed Caches (BW, BNB), pp. 124–136.
HPDCHPDC-1992-PuFSWY #comparison #distributed #performance #policy
Performance Comparison of Active-Sender and Active-Receiver Policies for Distributed Caching (CP, DF, PS, KLW, PSY), pp. 218–227.
CADECADE-1992-AstrachanS #proving #theorem proving
Caching and Lemmaizing in Model Elimination Theorem Provers (OLA, MES), pp. 224–238.
CAVCAV-1992-GodefroidHP #revisited
State-Space Caching Revisited (PG, GJH, DP), pp. 178–191.
DACDAC-1991-Jones91a #simulation
Accelerating Switch-Level Simulation by Function Caching (LGJ), pp. 211–214.
SIGMODSIGMOD-1991-CareyFLS #architecture #trade-off
Data Caching Tradeoffs in Client-Server DBMS Architectures (MJC, MJF, ML, EJS), pp. 357–366.
SIGMODSIGMOD-1991-WangR #architecture #concurrent #consistency
Cache Consistency and Concurrency Control in a Client/Server DBMS Architecture (YW, LAR), pp. 367–376.
VLDBVLDB-1991-PalmerZ #named
Fido: A Cache That Learns to Fetch (MP, SBZ), pp. 255–264.
PLDIPLDI-1991-McFarling
Procedure Merging with Instruction Caches (SM), pp. 71–79.
FPCAFPCA-1991-Chiueh #architecture #garbage collection
An Architectural Technique for Cache-level Garbage Collection (TcC), pp. 520–537.
ECOOPECOOP-1991-HolzleCU #dynamic typing #object-oriented #optimisation #polymorphism
Optimizing Dynamically-Typed Object-Oriented Languages With Polymorphic Inline Caches (UH, CC, DU), pp. 21–38.
ASPLOSASPLOS-1991-CateG #file system #integration
Integration of Compression and Caching for a Two-Level File System (VC, TRG), pp. 200–211.
ASPLOSASPLOS-1991-ChaikenKA #scalability
LimitLESS Directories: A Scalable Cache Coherence Scheme (DC, JK, AA), pp. 224–234.
ASPLOSASPLOS-1991-LamRW #algorithm #optimisation #performance
The Cache Performance and Optimizations of Blocked Algorithms (MSL, EER, MEW), pp. 63–74.
ASPLOSASPLOS-1991-MinC #detection #performance
An Efficient Cache-Based Access Anomaly Detection Scheme (SLM, JDC), pp. 235–244.
ASPLOSASPLOS-1991-MogulB #performance
The Effect of Context Switches on Cache Performance (JCM, AB), pp. 75–84.
SOSPSOSP-1991-VaswaniZ #memory management #multi #scheduling
The Implications of Cache Affinity on Processor Scheduling for Multiprogrammed, Shared Memory Multiprocessors (RV, JZ), pp. 26–40.
CAVCAV-1991-GjessingKM #approach #specification #top-down
A Top Down Approach to the Formal Specification of SCI Cache Coherence (SG, SK, EMK), pp. 83–91.
SIGMODSIGMOD-1990-SolworthO
Write-Only Disk Caches (JAS, CUO), pp. 123–132.
SIGMODSIGMOD-1990-StonebrakerJGP #database #on the
On Rules, Procedures, Caching and Views in Data Base Systems (MS, AJ, JG, SP), pp. 281–290.
VLDBVLDB-1990-WilkinsonN #consistency #maintenance
Maintaining Consistency of Client-Cached Data (WKW, MAN), pp. 122–133.
CAVCAV-1990-LoewensteinD #higher-order #logic #multi #protocol #simulation #using #verification
Verification of a Multiprocessor Cache Protocol Using Simulation Relations and Higher-Order Logic (PL, DLD), pp. 302–311.
PLDIPLDI-1989-ChiD #liveness #using
Unified Management of Registers and Cache Using Liveness and Cache Bypass (CHC, HGD), pp. 344–355.
POPLPOPL-1989-PughT #incremental
Incremental Computation via Function Caching (WP, TT), pp. 315–328.
ASPLOSASPLOS-1989-EggersK #parallel #performance #source code
The Effect of Sharing on the Cache and Bus Performance of Parallel Programs (SJE, RHK), pp. 257–270.
ASPLOSASPLOS-1989-GoodmanVW #multi #scalability
Efficent Synchronization Primitives for Large-Scale Cache-Coherent Multiprocessors (JRG, MKV, PJW), pp. 64–75.
ASPLOSASPLOS-1989-McFarling #optimisation
Program Optimization for Instruction Caches (SM), pp. 183–191.
ASPLOSASPLOS-1989-OwickiA #performance
Evaluating the Performance of Software Cache Coherence (SSO, AA), pp. 230–242.
ASPLOSASPLOS-1989-WeberG #analysis #multi
Analysis of Cache Invalidation Patterns in Multiprocessors (WDW, AG), pp. 243–256.
SOSPSOSP-1989-BraunsteinRW #performance
Improving the Efficiency of UNIX File Buffer Caches (AB, MR, JW), pp. 71–82.
SOSPSOSP-1989-GrayC #consistency #distributed #fault tolerance #named #performance
Leases: An Efficient Fault-Tolerant Mechanism for Distributed File Cache Consistency (CGG, DRC), pp. 202–210.
SOSPSOSP-1989-SrinivasanM #protocol
Spritely NFS: Experiments with Cache-Consistency Protocols (VS, JCM), pp. 45–57.
ICLPNACLP-1989-MadanPS #lisp #on the #prolog
On the Adequacy of Direct Mapped Caches for Lisp and Prolog Data Reference Patterns (VSM, CJP, GSS), pp. 888–906.
SIGIRSIGIR-1987-SimpsonA #information retrieval
Data Caching in Information Retrieval Systems (PS, RA), pp. 296–305.
ASPLOSASPLOS-1987-Goodman #multi
Coherency for Multiprocessor Virtual Address Caches (JRG), pp. 72–81.
SOSPSOSP-1987-NelsonWO #file system #network
Caching in the Sprite Network File System (Extended Abstract) (MNN, BBW, JKO), pp. 3–4.
SOSPSOSP-1985-SchroederGN #file system
A Caching File System For a Programmer’s Workstation (MDS, DKG, RMN), pp. 25–34.
ASPLOSASPLOS-1982-DitzelM #c #for free #stack
Register Allocation for Free: The C Machine Stack Cache (DRD, HRM), pp. 48–56.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.