BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
fault (291)
system (79)
base (41)
distribut (41)
use (41)

Stem toler$ (all stems)

432 papers:

QoSAQoSA-2015-AlzahraniP #aspect-oriented #fault tolerance #modelling #reuse
Modeling Fault Tolerance Tactics with Reusable Aspects (NAMA, DCP), pp. 43–52.
CASECASE-2015-ShinarTG #fault tolerance #robust
Fault-tolerant robust capture zone with respect to two faults (JS, VT, VYG), pp. 1036–1041.
CASECASE-2015-ZakharovZYJ #algorithm #configuration management #distributed #fault tolerance #optimisation #performance #predict
A performance optimization algorithm for controller reconfiguration in fault tolerant distributed model predictive control (AZ, EZ, MY, SLJJ), pp. 886–891.
DACDAC-2015-PalerD #fault tolerance #quantum
An introduction into fault-tolerant quantum computing (AP, SJD), p. 6.
DATEDATE-2015-HanFNQ #energy #fault tolerance #multi #scheduling
Energy minimization for fault tolerant scheduling of periodic fixed-priority applications on multiprocessor platforms (QH, MF, LN, GQ), pp. 830–835.
DATEDATE-2015-NowosielskiGBVB #design #fault tolerance #named
FLINT: layout-oriented FPGA-based methodology for fault tolerant ASIC design (RN, LG, SB, GPV, HB), pp. 297–300.
DATEDATE-2015-WangWXWWYDLMW #adaptation #process
Adaptively tolerate power-gating-induced power/ground noise under process variations (ZW, XW, JX, XW, ZW, PY, LHKD, HL, RKVM, ZW), pp. 483–488.
SIGMODSIGMOD-2015-SalamaBKZ #cost analysis #fault tolerance #parallel
Cost-based Fault-tolerance for Parallel Data Processing (AS, CB, TK, EZ), pp. 285–297.
VLDBVLDB-2015-WangBH #datalog #evaluation #fault tolerance #recursion
Asynchronous and Fault-Tolerant Recursive Datalog Evaluation in Shared-Nothing Engines (JW, MB, DH), pp. 1542–1553.
TACASTACAS-2015-DemasiCRMA #fault tolerance #named #source code #specification
syntMaskFT: A Tool for Synthesizing Masking Fault-Tolerant Programs from Deontic Specifications (RD, PFC, NR, TSEM, NA), pp. 188–193.
DACDAC-2014-GottschoBDNG #capacity #energy #fault tolerance #scalability
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches (MG, AB, ND, AN, PG), p. 6.
DACDAC-2014-HuangYT #fault tolerance #on the #scheduling
On the Scheduling of Fault-Tolerant Mixed-Criticality Systems (PH, HY, LT), p. 6.
DACDAC-2014-KangYKBHT #fault tolerance
Static Mapping of Mixed-Critical Applications for Fault-Tolerant MPSoCs (SHK, HY, SK, IB, SH, LT), p. 6.
DACDAC-2014-RaiHST #detection #fault #framework #performance #realtime
An Efficient Real Time Fault Detection and Tolerance Framework Validated on the Intel SCC Processor (DR, PH, NS, LT), p. 6.
DACDAC-2014-RenMRZ #fault tolerance #network #using
Fault-tolerant Routing for On-chip Network Without Using Virtual Channels (PR, QM, XR, NZ), p. 6.
DATEDATE-2014-DweikAD #array #exception #fault
Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures (WD, MA, MD), pp. 1–6.
DATEDATE-2014-FabrieEVG #design #library #standard #variability
Standard cell library tuning for variability tolerant designs (SF, JDE, MV, JPdG), pp. 1–6.
DATEDATE-2014-FarbehM #architecture #fault tolerance #low cost #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-GaoGWP #energy #fault #fault tolerance #framework #in the cloud #scheduling
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems (YG, SKG, YW, MP), pp. 1–6.
DATEDATE-2014-Huang #performance
A high performance SEU-tolerant latch for nanoscale CMOS technology (ZH), pp. 1–5.
DATEDATE-2014-ImhofW #architecture #fault tolerance
Bit-Flipping Scan — A unified architecture for fault tolerance and offline test (MEI, HJW), pp. 1–6.
DATEDATE-2014-KauerSGCA #distributed #embedded #fault tolerance #synthesis #verification
Fault-tolerant control synthesis and verification of distributed embedded systems (MK, DS, DG, SC, AMA), pp. 1–6.
DATEDATE-2014-LiuSXL #injection #programmable #thread
Programmable decoder and shadow threads: Tolerate remote code injection exploits with diversified redundancy (ZL, WS, SX, ZL), pp. 1–6.
DATEDATE-2014-NejatAA #power management #process
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits (MN, BA, AAK), pp. 1–4.
DATEDATE-2014-PalerDNP #fault tolerance #quantum
Software-based Pauli tracking in fault-tolerant quantum circuits (AP, SJD, KN, IP), pp. 1–4.
DATEDATE-2014-PaternaZR #component #mobile
Ambient variation-tolerant and inter components aware thermal management for mobile system on chips (FP, JZ, TSR), pp. 1–6.
DATEDATE-2014-SunMSPL #design #power management #robust
A low power and robust carbon nanotube 6T SRAM design with metallic tolerance (LS, JM, RAS, DKP, ZL), pp. 1–4.
DocEngDocEng-2014-Fan0N #using
Paper stitching using maximum tolerant seam under local distortions (WF, JS, SN), pp. 35–44.
VLDBVLDB-2014-ZhangLTYTX #fault tolerance #internet #named #resource management #scheduling
Fuxi: a Fault-Tolerant Resource Management and Job Scheduling System at Internet Scale (ZZ, CL, YT, RY, HT, JX), pp. 1393–1404.
FASEFASE-2014-Stevens #bidirectional #consistency #nondeterminism
Bidirectionally Tolerating Inconsistency: Partial Transformations (PS), pp. 32–46.
WRLAWRLA-2014-SunM #fault tolerance #specification
Formal Specification of Button-Related Fault-Tolerance Micropatterns (MS, JM), pp. 263–279.
STOCSTOC-2014-Solomon #fault tolerance #metric
From hierarchical partitions to hierarchical covers: optimal fault-tolerant spanners for doubling metrics (SS), pp. 363–372.
ICALPICALP-v2-2014-ChalopinDLP #fault tolerance #network
Fault-Tolerant Rendezvous in Networks (JC, YD, AL, AP), pp. 411–422.
SEFMSEFM-2014-BeckerSAB #analysis #constraints #deployment #fault tolerance #formal method
A Formal Model for Constraint-Based Deployment Calculation and Analysis for Fault-Tolerant Systems (KB, BS, MA, CB), pp. 205–219.
SFMSFM-2014-GmeinerKSVW #algorithm #distributed #fault tolerance #model checking #tutorial
Tutorial on Parameterized Model Checking of Fault-Tolerant Distributed Algorithms (AG, IK, US, HV, JW), pp. 122–171.
HCIHCI-AS-2014-HooeyABF #analysis #design #fault
Evidence-Based Error Analysis: Supporting the Design of Error-Tolerant Systems (BLH, MA, RB, DCF), pp. 401–412.
AdaEuropeAdaEurope-2014-LopatkinR #development #fault tolerance
Rigorous Development of Fault-Tolerant Systems through Co-refinement (IL, AR), pp. 11–26.
ECIRECIR-2014-LiS #effectiveness #fault #metric
Tolerance of Effectiveness Measures to Relevance Judging Errors (LL, MDS), pp. 148–159.
ICPRICPR-2014-YamashitaW #classification #using
k-NN Classification of Handwritten Characters Using a New Distortion-Tolerant Matching Measure (YY, TW), pp. 262–267.
SACSAC-2014-Guimaraes #architecture #composition #distributed #fault tolerance
A fault-tolerant architecture for decentralized compositions of services in the wild (FPG), pp. 1255–1256.
FSEFSE-2014-ZhangWLQRZ #concurrent #debugging #lightweight #named
AI: a lightweight system for tolerating concurrency bugs (MZ, YW, SL, SQ, JR, WZ), pp. 330–340.
HPCAHPCA-2014-DiTomasoKL #architecture #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
HPCAHPCA-2014-QiMAT #detection
Dynamically detecting and tolerating IF-Condition Data Races (SQ, AM, WA, JT), pp. 120–131.
HPDCHPDC-2014-BestaH #fault tolerance #memory management #modelling #programming
Fault tolerance for remote memory access programming models (MB, TH), pp. 37–48.
CBSECBSE-2013-YusufS #design pattern #fault tolerance
Parameterised architectural patterns for providing cloud service fault tolerance with accurate costings (IIY, HWS), pp. 121–130.
ASEASE-2013-Demasi #fault tolerance #logic #source code #specification
Synthesizing fault-tolerant programs from deontic logic specifications (RD), pp. 750–753.
CASECASE-2013-McInroy #fault tolerance #robust
Robustly fault tolerant Gough-Stewart platforms (JEM), pp. 38–44.
CASECASE-2013-NaikMRHB
An example of computing the failure-tolerant workspace area for a planar kinematically redundant robot (PSN, AAM, RGR, RCH, KMBG), pp. 306–311.
DACDAC-2013-AncajasNCR #architecture
HCI-tolerant NoC router microarchitecture (DMA, JMN, KC, SR), p. 10.
DACDAC-2013-ChakrabortyCRA #pipes and filters
Efficiently tolerating timing violations in pipelined microprocessors (KC, BC, SR, DMA), p. 8.
DACDAC-2013-CongX #fault #programmable
Defect tolerance in nanodevice-based programmable interconnects: utilization beyond avoidance (JC, BX), p. 8.
DATEDATE-2013-AmpaduZS #energy #fault tolerance #manycore
Breaking the energy barrier in fault-tolerant caches for multicore systems (PA, MZ, VS), pp. 731–736.
DATEDATE-2013-BanaiyanMofradDG #analysis #distributed #fault tolerance #modelling
Modeling and analysis of fault-tolerant distributed memories for networks-on-chip (AB, ND, GG), pp. 1605–1608.
DATEDATE-2013-EbrahimiDP #3d #algorithm #fault tolerance #using
Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy (ME, MD, JP), pp. 1601–1604.
DATEDATE-2013-GaoGB #fault tolerance #scheduling #using
Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors (YG, SKG, MAB), pp. 927–932.
DATEDATE-2013-MushtaqAB #approach #fault tolerance #manycore #performance
Efficient software-based fault tolerance approach on multicore platforms (HM, ZAA, KB), pp. 921–926.
DATEDATE-2013-RahimiMBGB #clustering
Variation-tolerant OpenMP tasking on tightly-coupled processor clusters (AR, AM, PB, RKG, LB), pp. 541–546.
DATEDATE-2013-RienerFF #fault tolerance
Improving fault tolerance utilizing hardware-software-co-synthesis (HR, SF, GF), pp. 939–942.
DATEDATE-2013-ShihW #3d #fault
An enhanced double-TSV scheme for defect tolerance in 3D-IC (HCS, CWW), pp. 1486–1489.
DATEDATE-2013-SuR #logic
Defect-tolerant logic hardening for crossbar-based nanosystems (YS, WR), pp. 1801–1806.
DATEDATE-2013-WachterEAM #fault tolerance
Topology-agnostic fault-tolerant NoC routing method (EW, AE, AMA, FM), pp. 1595–1600.
DATEDATE-2013-WangJSZ #fault tolerance #framework #scheduling
A work-stealing scheduling framework supporting fault tolerance (YW, WJ, FS, QZ), pp. 695–700.
SIGMODSIGMOD-2013-AnanthanarayananBDGJQRRSV #data type #fault tolerance #named #scalability
Photon: fault-tolerant and scalable joining of continuous data streams (RA, VB, SD, AG, HJ, TQ, AR, DR, MS, SV), pp. 577–588.
SIGMODSIGMOD-2013-FernandezMKP #fault tolerance #using
Integrating scale out and fault tolerance in stream processing using operator state management (RCF, MM, EK, PP), pp. 725–736.
VLDBVLDB-2013-AkidauBBCHLMMNW #fault tolerance #internet #named
MillWheel: Fault-Tolerant Stream Processing at Internet Scale (TA, AB, KB, SC, JH, RL, SM, DM, PN, SW), pp. 1033–1044.
VLDBVLDB-2013-XiaoQ0ITS #performance #query
Efficient Error-tolerant Query Autocompletion (CX, JQ, WW, YI, KT, KS), pp. 373–384.
ICSMEICSM-2013-Kwon #automation #distributed #energy #execution #fault tolerance #re-engineering
Automated S/W Reengineering for Fault-Tolerant and Energy-Efficient Distributed Execution (YWK), pp. 582–585.
PLDIPLDI-2013-GaoSBMBL #runtime #smarttech #using
Using managed runtime systems to tolerate holes in wearable memories (TG, KS, SMB, KSM, DB, JRL), pp. 297–308.
IFMIFM-2013-DemasiCMA #fault tolerance #simulation
Characterizing Fault-Tolerant Systems by Means of Simulation Relations (RD, PFC, TSEM, NA), pp. 428–442.
HCIHIMI-HSM-2013-OhneiserG #interactive #migration
Migration Tolerant Human Computer Interaction for Air Traffic Controllers (OO, HG), pp. 143–152.
POPLPOPL-2013-RamalingamV #fault tolerance
Fault tolerance via idempotence (GR, KV), pp. 249–262.
SACSAC-2013-MartinsLSN #fault tolerance #framework #middleware #realtime
Stheno, a real-time fault-tolerant P2P middleware platform for light-train systems (RM, LMBL, FMAS, PN), pp. 431–438.
ASPLOSASPLOS-2013-KadavRS #fault tolerance #fine-grained #using
Fine-grained fault tolerance using device checkpoints (AK, MJR, MMS), pp. 473–484.
HPCAHPCA-2013-CragoALP #energy #hybrid #latency #parallel #robust
Hybrid latency tolerance for robust energy-efficiency on 1000-core data parallel processors (NCC, OA, SSL, SJP), pp. 294–305.
PPoPPPPoPP-2013-Chen #algorithm #detection #fault #fault tolerance #named #online
Online-ABFT: an online algorithm based fault tolerance scheme for soft error detection in iterative methods (ZC), pp. 167–176.
PPoPPPPoPP-2013-DiouriGLC #energy #fault tolerance #protocol #towards
Towards an energy estimator for fault tolerance protocols (MeMD, OG, LL, FC), pp. 313–314.
PPoPPPPoPP-2013-LifflanderMK #detection #fault tolerance #protocol #termination
Adoption protocols for fanout-optimal fault-tolerant termination detection (JL, PM, LVK), pp. 13–22.
SOSPSOSP-2013-ZahariaDLHSS #fault tolerance #scalability #streaming
Discretized streams: fault-tolerant streaming computation at scale (MZ, TD, HL, TH, SS, IS), pp. 423–438.
CASECASE-2012-KehoeBG #adaptation #bound
Estimating part tolerance bounds based on adaptive Cloud-based grasp planning with slip (BK, DB, KG), pp. 1106–1113.
DACDAC-2012-HuangHRBK #detection #embedded #fault tolerance #towards
Towards fault-tolerant embedded systems with imperfect fault detection (JH, KH, AR, CB, AK), pp. 188–196.
DACDAC-2012-KongC #3d #process
Exploiting narrow-width values for process variation-tolerant 3-D microprocessors (JK, SWC), pp. 1197–1206.
DACDAC-2012-KozhikkottuDR #design
Recovery-based design for variation-tolerant SoCs (VJK, SD, AR), pp. 826–833.
DACDAC-2012-WangDX #architecture
Point and discard: a hard-error-tolerant architecture for non-volatile last level caches (JW, XD, YX), pp. 253–258.
DACDAC-2012-YuanLX #configuration management #debugging #named
X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug (FY, XL, QX), pp. 555–560.
SIGMODSIGMOD-2012-ShuteOEHRSVWCJLT #distributed #fault tolerance #named
F1: the fault-tolerant distributed RDBMS supporting google’s ad business (JS, MO, SE, BH, ER, BS, RV, CW, XC, BJ, KL, PT), pp. 777–778.
VLDBVLDB-2012-KotsifakosPHGAK #named #sequence
Hum-a-song: A Subsequence Matching with Gaps-Range-Tolerances Query-By-Humming System (AK, PP, JH, DG, VA, GK), pp. 1930–1933.
ICALPICALP-v1-2012-ChanLN #bound #fault tolerance #metric
Sparse Fault-Tolerant Spanners for Doubling Metrics with Bounded Hop-Diameter or Degree (THHC, ML, LN), pp. 182–193.
ICALPICALP-v2-2012-ChandranGO #fault tolerance #network
Edge Fault Tolerance on Sparse Networks (NC, JAG, RO), pp. 452–463.
ICFPICFP-2012-ChenEW #type system #λ-calculus
An error-tolerant type system for variational λ calculus (SC, ME, EW), pp. 29–40.
ICPRICPR-2012-OGorman #fault #privacy #segmentation #video
Video privacy filters with tolerance to segmentation errors for video conferencing and surveillance (LO), pp. 1835–1838.
ICPRICPR-2012-RenO #authentication #video
Accuracy of a high-level, loss-tolerant video fingerprint for surveillance authentication (Y(R, LO), pp. 1088–1091.
ICPRICPR-2012-WakaharaY #correlation #image
Acceleration of GAT correlation for distortion-tolerant image matching (TW, YY), pp. 746–749.
KEODKEOD-2012-Decker #datalog #information management
Datalog for Inconsistency-tolerant Knowledge Engineering (HD), pp. 296–301.
SEKESEKE-2012-FitchX #fault tolerance #petri net
A Petri Net Model for Secure and Fault-Tolerant Cloud-Based Information Storage (DFF, HX), pp. 333–339.
MODELSMoDELS-2012-Wu0SZ #component #fault tolerance #modelling
Model Driven Configuration of Fault Tolerance Solutions for Component-Based Software System (YW, GH, HS, YZ), pp. 514–530.
MODELSMoDELS-2012-Wu0SZ #component #fault tolerance #modelling
Model Driven Configuration of Fault Tolerance Solutions for Component-Based Software System (YW, GH, HS, YZ), pp. 514–530.
SACSAC-2012-HuH #network
A density-aware routing scheme in delay tolerant networks (CLH, BJH), pp. 563–568.
SACSAC-2012-ReisM #fault tolerance #named
FTRMI: fault-tolerant transparent RMI (DR, HMM), pp. 511–518.
SACSAC-2012-TeymooriKY #fault tolerance #network #realtime
A real-time data aggregation method for fault-tolerant wireless sensor networks (PT, MK, NY), pp. 605–612.
SPLCSPLC-2012-NohrerBE #comparison #consistency #nondeterminism
A comparison of strategies for tolerating inconsistencies during decision-making (AN, AB, AE), pp. 11–20.
CGOCGO-2012-ZhangGHLMA #fault tolerance #runtime
Runtime asynchronous fault tolerance via speculation (YZ, SG, JH, JWL, SAM, DIA), pp. 145–154.
HPCAHPCA-2012-QiONMT #hardware #named #symmetry
Pacman: Tolerating asymmetric data races with unintrusive hardware (SQ, NO, LON, AM, JT), pp. 349–360.
HPDCHPDC-2012-KutluAK #algorithm #data-driven #fault tolerance #parallel
Fault tolerant parallel data-intensive algorithms (MK, GA, OK), pp. 133–134.
PPoPPPPoPP-2012-DuBBHD #fault tolerance #matrix
Algorithm-based fault tolerance for dense matrix factorizations (PD, AB, GB, TH, JD), pp. 225–234.
QoSAQoSA-ISARCS-2011-BroschBKR #architecture #fault tolerance #predict #reliability
Reliability prediction for fault-tolerant software architectures (FB, BB, HK, RHR), pp. 75–84.
QoSAQoSA-ISARCS-2011-YusufSP #architecture #fault tolerance #grid
Architecture-based fault tolerance support for grid applications (IIY, HWS, IDP), pp. 177–182.
DACDAC-2011-LungSHSC #3d #fault tolerance #network
Fault-tolerant 3D clock network (CLL, YSS, SHH, YS, SCC), pp. 645–651.
DACDAC-2011-TsaiZCH #bidirectional #fault tolerance #using
A fault-tolerant NoC scheme using bidirectional channel (WCT, DYZ, SJC, YHH), pp. 918–923.
DATEDATE-2011-ChaixAZN #adaptation #concurrent #fault tolerance
A fault-tolerant deadlock-free adaptive routing for on chip interconnects (FC, DA, NEZ, MN), pp. 909–912.
DATEDATE-2011-ErbP #analysis #performance
A method for fast jitter tolerance analysis of high-speed PLLs (SE, WP), pp. 1107–1112.
DATEDATE-2011-HaronH #fault tolerance #hybrid #low cost
Cost-efficient fault-tolerant decoder for hybrid nanoelectronic memories (NZH, SH), pp. 265–268.
DATEDATE-2011-KlobedanzK0 #approach #configuration management #fault tolerance #network
A reconfiguration approach for fault-tolerant FlexRay networks (KK, AK, WM), pp. 82–87.
DATEDATE-2011-PanHHL #effectiveness
A cost-effective substantial-impact-filter based method to tolerate voltage emergencies (SP, YH, XH, XL), pp. 311–315.
DATEDATE-2011-ShinG #fault
A new circuit simplification method for error tolerant applications (DS, SKG), pp. 1566–1571.
SIGMODSIGMOD-2011-UpadhyayaKB #fault tolerance #latency #online #parallel #query
A latency and fault-tolerance optimizer for online parallel query plans (PU, YK, MB), pp. 241–252.
VLDBVLDB-2011-KotsifakosPHG #framework #sequence
A Subsequence Matching with Gaps-Range-Tolerances Framework: A Query-By-Humming Application (AK, PP, JH, DG), pp. 761–771.
VLDBVLDB-2012-ArmbrustCKFFP11 #in the cloud #named #query
PIQL: Success-Tolerant Query Processing in the Cloud (MA, KC, TK, AF, MJF, DAP), pp. 181–192.
ICALPICALP-v2-2011-Chechik #fault tolerance #graph
Fault-Tolerant Compact Routing Schemes for General Graphs (SC), pp. 101–112.
SEFMSEFM-2011-CastroKAA #branch #fault tolerance #logic #named #verification
dCTL: A Branching Time Temporal Logic for Fault-Tolerant System Verification (PFC, CK, AA, NA), pp. 106–121.
SACSAC-2011-AhnAHS #component #fault tolerance #framework
Fault tolerant framework and techniques for component-based autonomous robot systems (HA, SCA, JH, SYS), pp. 566–572.
SACSAC-2011-ManyD #analysis #evaluation #fault tolerance #scheduling
Fault tolerance evaluation and schedulability analysis (FM, DD), pp. 729–734.
VMCAIVMCAI-2011-ChengRKB #embedded #fault tolerance #game studies #synthesis #theory and practice #using
Synthesis of Fault-Tolerant Embedded Systems Using Games: From Theory to Practice (CHC, HR, AK, CB), pp. 118–133.
DACDAC-2010-ChangHKCW #3d #fault
An error tolerance scheme for 3D CMOS imagers (HMC, JLH, DMK, KT(C, CWW), pp. 917–922.
DACDAC-2010-KahngKKS #design #power management
Recovery-driven design: a power minimization methodology for error-tolerant processor modules (ABK, SK, RK, JS), pp. 825–830.
DACDAC-2010-ThomptoH #fault tolerance #verification
Verification for fault tolerance of the IBM system z microprocessor (BWT, BH), pp. 525–530.
DACDAC-2010-WohlWNG
Fully X-tolerant, very high scan compression (PW, JAW, FN, EG), pp. 362–367.
DATEDATE-2010-BanerjeeASNO #design
A methodology for propagating design tolerances to shape tolerances for use in manufacturing (SB, KBA, CNS, SRN, MO), pp. 1273–1278.
DATEDATE-2010-LiuLW #energy #fault tolerance #performance #realtime #scheduling
Scheduling for energy efficiency and fault tolerance in hard real-time systems (YL, HL, KW), pp. 1444–1449.
DATEDATE-2010-ShinG #approximate #fault #logic #synthesis
Approximate logic synthesis for error tolerant applications (DS, SKG), pp. 957–960.
DATEDATE-2010-SubramanyanSSL #execution #fault tolerance #multi #performance
Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors (PS, VS, KKS, EL), pp. 1572–1577.
DATEDATE-2010-WuM #scheduling
Clock skew scheduling for soft-error-tolerant sequential circuits (KCW, DM), pp. 717–722.
DATEDATE-2010-ZhangYDHRL #manycore #symmetry
Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
SIGMODSIGMOD-2010-AgrawalAK #on the #set
On indexing error-tolerant set containment (PA, AA, RK), pp. 927–938.
SIGMODSIGMOD-2010-RohKCSK
Hierarchically organized skew-tolerant histograms for geographic data objects (YJR, JHK, YDC, JHS, MHK), pp. 627–638.
ESOPESOP-2010-MeolaW #fault tolerance #logic #reasoning #source code
Faulty Logic: Reasoning about Fault Tolerant Programs (MLM, DW), pp. 468–487.
PLDIPLDI-2010-PizloZMHBV #garbage collection #named #realtime
Schism: fragmentation-tolerant real-time garbage collection (FP, LZ, PM, ALH, EB, JV), pp. 146–159.
ICALPICALP-v2-2010-ChandranGO #fault tolerance #network
Improved Fault Tolerance and Secure Computation on Sparse Networks (NC, JAG, RO), pp. 249–260.
AdaSIGAda-2010-LiYBWZL #ada #fault tolerance #manycore #monitoring
Extending Ada to support multi-core based monitoring and fault tolerance (YL, LY, LB, LW, JZ, XL), pp. 53–62.
CIKMCIKM-2010-KaytoueANK #concept analysis
Embedding tolerance relations in formal concept analysis: an application in information fusion (MK, ZA, AN, SOK), pp. 1689–1692.
CIKMCIKM-2010-LiDF #fault
Extending dictionary-based entity extraction to tolerate errors (GL, DD, JF), pp. 1341–1344.
ICPRICPR-2010-HadidNS #recognition
Recognition of Blurred Faces via Facial Deblurring Combined with Blur-Tolerant Descriptors (AH, MN, YS), pp. 1160–1163.
ICPRICPR-2010-SuLLT #detection
An RST-Tolerant Shape Descriptor for Object Detection (CWS, HYML, YML, HRT), pp. 766–769.
ICPRICPR-2010-WangLS #documentation #identification #using
Noise Tolerant Script Identification of Printed Oriental and English Documents Using a Downgraded Pixel Density Feature (NW, LL, CYS), pp. 2037–2040.
KDDKDD-2010-TanTSLW #graph #social
Social action tracking via noise tolerant time-varying factor graphs (CT, JT, JS, QL, FW), pp. 1049–1058.
SACSAC-2010-SchutzC #approach #fault tolerance #hybrid #multi #network #optimisation
A multi-objective optimization approach for fault-tolerance provisioning in multi-radio hybrid wireless-optical broadband access networks (GS, NSCC), pp. 635–640.
SACSAC-2010-YiKMKS #adaptation #fault tolerance #named #reliability
ART: adaptive, reliable, and fault-tolerant task management for computational grids (SY, JYK, HM, BK, COS), pp. 238–239.
HPCAHPCA-2010-HiltonR #energy #execution #named
BOLT: Energy-efficient Out-of-Order Latency-Tolerant execution (ADH, AR), pp. 1–12.
CBSECBSE-2009-LiCHMC #component #fault tolerance #model checking
Selecting Fault Tolerant Styles for Third-Party Components with Model Checking Support (JL, XC, GH, HM, FC), pp. 69–86.
WICSA-ECSAWICSA-ECSA-2009-BritoRL #architecture #fault tolerance #verification
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
ASEASE-2009-MunkbyS #fault tolerance #predict #type inference
Type Inference for Soft-Error Fault-Tolerance Prediction (GM, SS), pp. 65–75.
CASECASE-2009-DSouzaGRS #realtime
Supervisory control for real-time systems based on conflict-tolerant controllers (DD, MG, SR, PS), pp. 555–560.
DACDAC-2009-BowmanTWLKDB
Circuit techniques for dynamic variation tolerance (KAB, JT, CW, SLL, TK, VD, SYB), pp. 4–7.
DACDAC-2009-ChattopadhyayZ #configuration management
Serial reconfigurable mismatch-tolerant clock distribution (AC, ZZ), pp. 611–612.
DACDAC-2009-DasBBFA #design
Addressing design margins through error-tolerant circuits (SD, DB, DMB, KF, RA), pp. 11–12.
DACDAC-2009-FeySD #bound #fault tolerance #using
Computing bounds for fault tolerance using formal techniques (GF, AS, RD), pp. 190–195.
DACDAC-2009-LinB #analysis #process
Analysis and mitigation of process variation impacts on Power-Attack Tolerance (LL, WPB), pp. 238–243.
DATEDATE-2009-FickDCBSB #algorithm #fault tolerance
A highly resilient routing algorithm for fault-tolerant NoCs (DF, AD, GKC, VB, DS, DB), pp. 21–26.
DATEDATE-2009-IzosimovPPEP #analysis #embedded #fault tolerance #optimisation
Analysis and optimization of fault-tolerant embedded systems with hardened processors (VI, IP, PP, PE, ZP), pp. 682–687.
DATEDATE-2009-PaternaBAPDO #adaptation #multi
Adaptive idleness distribution for non-uniform aging tolerance in MultiProcessor Systems-on-Chip (FP, LB, AA, FP, GD, MO), pp. 906–909.
DATEDATE-2009-VayrynenSL #execution #fault tolerance #multi #optimisation
Fault-tolerant average execution time optimization for general-purpose multi-processor system-on-chips (MV, VS, EL), pp. 484–489.
SIGMODSIGMOD-2009-ChaudhuriK #fault
Extending autocompletion to tolerate errors (SC, RK), pp. 707–718.
STOCSTOC-2009-ChechikLPR #fault tolerance #graph
Fault-tolerant spanners for general graphs (SC, ML, DP, LR), pp. 435–444.
ICALPICALP-v2-2009-GuerraouiR #mobile
Names Trump Malice: Tiny Mobile Agents Can Tolerate Byzantine Failures (RG, ER), pp. 484–495.
LATALATA-2009-GlasserPT #fault tolerance #np-hard #problem
The Fault Tolerance of NP-Hard Problems (CG, AP, SDT), pp. 374–385.
FMFM-2009-JeffordsHAL #composition #fault tolerance #formal method #refinement #using
A Formal Method for Developing Provably Correct Fault-Tolerant Systems Using Partial Refinement and Composition (RDJ, CLH, MA, EIL), pp. 173–189.
AdaSIGAda-2009-DinhB #design pattern #distributed #fault tolerance
Distributed container: a design pattern for fault tolerance and high speed data exchange (TD, SB), pp. 115–118.
CIKMCIKM-2009-SunDML
Matching stream patterns of various lengths and tolerances (HS, KD, FM, JL), pp. 1477–1480.
KDDKDD-2009-PoernomoG09a #fault tolerance #mining #performance #towards
Towards efficient mining of proportional fault-tolerant frequent itemsets (AKP, VG), pp. 697–706.
SACSAC-2009-CelikikB #performance #scalability
Fast error-tolerant search on very large texts (MC, HB), pp. 1724–1731.
CGOCGO-2009-YuGS #fault tolerance #named
ESoftCheck: Removal of Non-vital Checks for Fault Tolerance (JY, MJG, MS), pp. 35–46.
HPCAHPCA-2009-HiltonNR #named
iCFP: Tolerating all-level cache misses in in-order processors (ADH, SN, AR), pp. 431–442.
PPoPPPPoPP-2009-RatanaworabhanBKZNP #detection #symmetry
Detecting and tolerating asymmetric races (PR, MB, DK, BGZ, RN, KP), pp. 173–184.
SOSPSOSP-2009-KadavRS #hardware
Tolerating hardware device failures in software (AK, MJR, MMS), pp. 59–72.
FATESTestCom-FATES-2009-NunesHM #automation #data flow #fault tolerance #generative #named #testing
OConGraX — Automatically Generating Data-Flow Test Cases for Fault-Tolerant Systems (PRFN, SH, ACVdM), pp. 229–234.
ECSAECSA-2008-BritoLR #abstraction #architecture #development #fault tolerance
Development of Fault-Tolerant Software Systems Based on Architectural Abstractions (PHSB, RdL, CMFR), pp. 131–147.
DACDAC-2008-HerbertM #multi #variability
Characterizing chip-multiprocessor variability-tolerance (SH, DM), pp. 313–318.
DACDAC-2008-KulkarniKPR #array #process
Process variation tolerant SRAM array for ultra low voltage applications (JPK, KK, SPP, KR), pp. 108–113.
DACDAC-2008-ZhangGT #2d #algorithm #configuration management #fault tolerance
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip (ZZ, AG, ST), pp. 441–446.
DATEDATE-2008-ElesIPP #embedded #fault tolerance #synthesis
Synthesis of Fault-Tolerant Embedded Systems (PE, VI, PP, ZP), pp. 1117–1122.
DATEDATE-2008-GhoshNR #adaptation #fault tolerance #novel #using
A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking (SG, PN, KR), pp. 366–371.
DATEDATE-2008-IzosimovPEP #constraints #embedded #fault tolerance #scheduling
Scheduling of Fault-Tolerant Embedded Systems with Soft and Hard Timing Constraints (VI, PP, PE, ZP), pp. 915–920.
DATEDATE-2008-LeeNKT #fault #generative
Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation (JL, SN, MK, MT), pp. 1172–1177.
DATEDATE-2008-MedardoniLB #design #self
Variation tolerant NoC design by means of self-calibrating links (SM, ML, DB), pp. 1402–1407.
DATEDATE-2008-NessL #design #fault tolerance #statistics
Guiding Circuit Level Fault-Tolerance Design with Statistical Methods (DCN, DJL), pp. 348–353.
DATEDATE-2008-RaoO #fault tolerance #parallel #towards
Towards fault tolerant parallel prefix adders in nanoelectronic systems (WR, AO), pp. 360–365.
DATEDATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
DATEDATE-2008-SterponeATG #design #fault tolerance #on the #safety
On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications (LS, MAA, JNT, HGM), pp. 336–341.
DATEDATE-2008-ZhangHXL #fault #manycore #using
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology (LZ, YH, QX, XL), pp. 891–896.
DATEDATE-2008-ZhangPM #design #guidelines #logic
Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits (JZ, NP, SM), pp. 1009–1014.
VLDBVLDB-2008-KwonBG #distributed #fault tolerance #file system #using
Fault-tolerant stream processing using a distributed, replicated file system (YK, MB, AGG), pp. 574–585.
TACASTACAS-2008-FismanKL #distributed #fault tolerance #on the #protocol #verification
On Verifying Fault Tolerance of Distributed Protocols (DF, OK, YL), pp. 315–331.
ICALPICALP-A-2008-KempeRUW #bound #fault tolerance #quantum
Upper Bounds on the Noise Threshold for Fault-Tolerant Quantum Computing (JK, OR, FU, RdW), pp. 845–856.
ICALPICALP-C-2008-PrzydatekW
Error-Tolerant Combiners for Oblivious Primitives (BP, JW), pp. 461–472.
SEFMSEFM-2008-XavierHM #fault tolerance #source code #using #verification
Using Formal Verification to Reduce Test Space of Fault-Tolerant Programs (KSX, SH, ACVdM), pp. 181–190.
KDDKDD-2008-WuLCC #learning #symmetry
Asymmetric support vector machines: low false-positive learning under the user tolerance (SHW, KPL, CMC, MSC), pp. 749–757.
SEKESEKE-2008-DasguptaEFKSV #design #fault tolerance #grid #standard #using
Design of a Fault-tolerant Job-flow Manager for Grid Environments Using Standard Technologies, Job-flow Patterns, and a Transparent Proxy (GD, OE, LF, SK, SMS, BV), pp. 814–819.
OOPSLAOOPSLA-2008-BondM #memory management
Tolerating memory leaks (MDB, KSM), pp. 109–126.
PPDPPPDP-2008-DeckerM #consistency #nondeterminism
Classifying integrity checking methods with regard to inconsistency tolerance (HD, DM), pp. 195–204.
SACSAC-2008-LunaAAB #fault tolerance #heuristic #multi #resource management
Dynamic resource allocation heuristics for providing fault tolerance in multi-agent systems (AdLA, SA, JPB), pp. 66–70.
SACSAC-2008-PaesHY #fault tolerance
Extending RUP to develop fault tolerant software (CEdBP, CMH, ETY), pp. 783–790.
SACSAC-2008-SridharanBRA #architecture #fault tolerance #implementation #network
Implementing an autonomic architecture for fault-tolerance in a wireless sensor network testbed for at-scale experimentation (MS, SB, RR, AA), pp. 1670–1676.
SACSAC-2008-ZengLL #fault tolerance #mining
Mining fault-tolerant frequent patterns efficiently with powerful pruning (JJZ, GL, CCL), pp. 927–931.
CGOCGO-2008-WinkelKS #compilation #pipes and filters
Latency-tolerant software pipelining in a production compiler (SW, RK, RS), pp. 104–113.
HPDCHPDC-2008-HupfeldKSHCMM #fault tolerance #named #scalability
FaTLease: scalable fault-tolerant lease negotiation with paxos (FH, BK, JS, MH, TC, JM, JM), pp. 1–10.
HPDCHPDC-2008-RamakrishnanR #fault tolerance #modelling #scheduling #workflow
Performability modeling for scheduling and fault tolerance strategies for scientific workflows (LR, DAR), pp. 23–34.
HPDCHPDC-2008-ShiPRJJ #adaptation #fault tolerance #grid #named #safety #using
Dynasa: adapting grid applications to safety using fault-tolerant methods (XS, JLP, ER, HJ, HJ), pp. 237–238.
CAVCAV-2008-DSouzaG
Conflict-Tolerant Features (DD, MG), pp. 227–239.
WICSAWICSA-2007-MucciniPR #architecture #fault tolerance
Architecting Fault Tolerant Systems (HM, PP, AR), p. 43.
ASEASE-2007-Ebnenasir #fault tolerance
Diconic addition of failsafe fault-tolerance (AE), pp. 44–53.
DATEDATE-2007-AngioliniJABM #design #fault tolerance #interactive
Interactive presentation: Improving the fault tolerance of nanometric PLA designs (FA, MHBJ, DA, LB, GDM), pp. 570–575.
DATEDATE-2007-BanerjeeKR #architecture #power management #process
Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
DATEDATE-2007-DasM #analysis
Sensitivity analysis for fault-analysis and tolerance in RF front-end circuitry (TD, PRM), pp. 1277–1282.
DATEDATE-2007-EjlaliARM #energy #fault tolerance #network #performance
Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks (AE, BMAH, PMR, SGM), pp. 1647–1652.
DATEDATE-2007-HsiehLB #detection #fault #reduction
Reduction of detected acceptable faults for yield improvement via error-tolerance (TYH, KJL, MAB), pp. 1599–1604.
DATEDATE-2007-HungIGS #fault
Utilization of SECDED for soft error and variation-induced defect tolerance in caches (LDH, HI, MG, SS), pp. 1134–1139.
DATEDATE-2007-HwangCR #interactive #process #scalability
Interactive presentation: Process tolerant beta-ratio modulation for ultra-dynamic voltage scaling (MEH, TC, KR), pp. 1550–1555.
DATEDATE-2007-NepalBMPZ #design #interactive #multi
Interactive presentation: Techniques for designing noise-tolerant multi-level combinational circuits (KN, RIB, JLM, WRP, AZ), pp. 576–581.
DATEDATE-2007-PanC #analysis #component #fault #framework #quality #reliability
A framework for system reliability analysis considering both system error tolerance and component test quality (SJ(RP, KTC), pp. 1581–1586.
DATEDATE-2007-RaoOK #fault tolerance #interactive #logic
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs (WR, AO, RK), pp. 865–869.
DATEDATE-2007-SinanogluS #modelling
Diagnosis, modeling and tolerance of scan chain hold-time violations (OS, PS), pp. 516–521.
ESOPESOP-2007-FrancalanzaH #bisimulation #fault tolerance #proving
A Fault Tolerance Bisimulation Proof for Consensus (Extended Abstract) (AF, MH), pp. 395–410.
PLDIPLDI-2007-PerryMRLAW #assembly #fault tolerance
Fault-tolerant typed assembly language (FP, LWM, GAR, JL, DIA, DW), pp. 42–53.
SEFMSEFM-2007-CastroM #fault tolerance #logic #reasoning
An ought-to-do deontic logic for reasoning about fault-tolerance: the diarrheic philosophers (PFC, TSEM), pp. 151–160.
HCIHCI-AS-2007-ZhangLL #algorithm #fault #random
A Routing Algorithm for Random Error Tolerance in Network-on-Chip (LZ, HL, XL), pp. 1210–1219.
ECIRECIR-2007-BrunnertAR #enterprise #people #retrieval #using #visualisation
Enterprise People and Skill Discovery Using Tolerant Retrieval and Visualization (JB, OA, DR), pp. 674–677.
SACSAC-2007-MeddebDB #detection
Global intrusion detection and tolerance in networked systems (AM, YD, NB), pp. 188–189.
HPCAHPCA-2007-LiY #correctness #fault tolerance
Application-Level Correctness and its Impact on Fault Tolerance (XL, DY), pp. 181–192.
HPCAHPCA-2007-PascualGAD #architecture #fault tolerance #protocol
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures (RFP, JMG, MEA, JD), pp. 157–168.
HPDCHPDC-2007-GadgilFPP #architecture #fault tolerance #scalability
Scalable, fault-tolerant management in a service oriented architecture (HG, GF, SP, MEP), pp. 235–236.
HPDCHPDC-2007-ZhengVT #fault tolerance #replication #scheduling
Fault-tolerant scheduling for differentiated classes of tasks with low replication cost in computational grids (QZ, BV, CKT), pp. 239–240.
PPoPPPPoPP-2007-TapusH #fault tolerance #named #parallel #performance
Speculations: providing fault-tolerance and improving performance of parallel applications (CT, JH), pp. 152–153.
PPoPPPPoPP-2007-ZhuCA #fault tolerance #grid #streaming
Supporting fault-tolerance in streaming grid applications (QZ, LC, GA), pp. 156–157.
SOSPSOSP-2007-HendricksGR #fault tolerance
Low-overhead byzantine fault-tolerant storage (JH, GRG, MKR), pp. 73–86.
SOSPSOSP-2007-KotlaADCW #fault tolerance #named
Zyzzyva: speculative byzantine fault tolerance (RK, LA, MD, AC, ELW), pp. 45–58.
SOSPSOSP-2007-VandiverBLM #commit #fault #scheduling #transaction #using
Tolerating byzantine faults in transaction processing systems using commit barrier scheduling (BV, HB, BL, SM), pp. 59–72.
CASECASE-2006-ZhangYZ #fault tolerance
Sliding Mode Control with Sensor Fault Tolerant for Electronic Throttle (PZ, CY, JZ), pp. 568–573.
DACDAC-2006-ChaoCWCW #analysis #using
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors (MCTC, KTC, SW, STC, WW), pp. 1083–1088.
DACDAC-2006-MuraliABM #fault tolerance #multi #network
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (SM, DA, LB, GDM), pp. 845–848.
DACDAC-2006-ZhuQ #fault tolerance #multi #prototype #runtime
Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery (XZ, WQ), pp. 53–56.
DATEDATE-2006-BhaduriSCTGG #analysis #architecture #design #fault tolerance #framework #hybrid
A hybrid framework for design and analysis of fault-tolerant architectures (DB, SKS, DC, VET, PSG, MG), pp. 335–336.
DATEDATE-2006-HuangML #fault
Defect tolerance of QCA tiles (JH, MM, FL), pp. 774–779.
DATEDATE-2006-IzosimovPEP #distributed #embedded #fault tolerance #performance #synthesis #trade-off
Synthesis of fault-tolerant schedules with transparency/performance trade-offs for distributed embedded systems (VI, PP, PE, ZP), pp. 706–711.
DATEDATE-DF-2006-CarvalhoPJF #algorithm #fault tolerance #implementation
A practical implementation of the fault-tolerant daisy-chain clock synchronization algorithm on CAN (FCC, CEP, ETSJ, EPdF), pp. 189–194.
SIGMODSIGMOD-2006-TaylorI #collaboration
Reconciling while tolerating disagreement in collaborative data sharing (NET, ZGI), pp. 13–24.
FoSSaCSFoSSaCS-2006-FrancalanzaH #fault tolerance
A Theory for Observational Fault Tolerance (AF, MH), pp. 16–31.
ICALPICALP-v1-2006-Reichardt #fault tolerance #quantum
Fault-Tolerance Threshold for a Distance-Three Quantum Code (BR), pp. 50–61.
AdaSIGAda-2006-BarbariaPP #fault tolerance #middleware
Schizophrenic middleware support for fault tolerance (KB, LP, IP), pp. 51–60.
EDOCEDOC-2006-GorpAJ #2d #consistency #contract #framework #nondeterminism #towards #traceability #visual notation
Towards 2D Traceability in a Platform for Contract Aware Visual Transformations with Tolerated Inconsistencies (PVG, FA, DJ), pp. 185–198.
EDOCEDOC-2006-ZuoLW #fault tolerance #transaction
A Fault-Tolerant Scheme for Complex Transaction Patterns in J2EE (LZ, SL, JW), pp. 165–174.
ICPRICPR-v4-2006-NeuhausB #graph #kernel
A Convolution Edit Kernel for Error-tolerant Graph Matching (MN, HB), pp. 220–223.
RERE-2006-EbnenasirCK #analysis #case study #fault tolerance #modelling
Use Case-Based Modeling and Analysis of Failsafe Fault-Tolerance (AE, BHCC, SK), pp. 336–337.
SACSAC-2006-BessaniFL #fault tolerance #named #tuple
BTS: a Byzantine fault-tolerant tuple space (ANB, JdSF, LCL), pp. 429–433.
ASPLOSASPLOS-2006-PatwardhanJDL #architecture #fault #self
A defect tolerant self-organizing nanoscale SIMD architecture (JPP, VJ, CD, ARL), pp. 241–251.
ASPLOSASPLOS-2006-ReddyRP #comprehension #fault tolerance #predict #thread
Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance (VKR, ER, SP), pp. 83–94.
HPCAHPCA-2006-ConstantinidesPBZBMAO #architecture #named
BulletProof: a defect-tolerant CMP switch architecture (KC, SP, JAB, BZ, VB, SAM, TMA, MO), pp. 5–16.
HPDCHPDC-2006-WoitaszekT #fault tolerance
Fault Tolerance of Tornado Codes for Archival Storage (MW, HMT), pp. 83–92.
OSDIOSDI-2006-CowlingMLRS #fault tolerance #hybrid #protocol #replication
HQ Replication: A Hybrid Quorum Protocol for Byzantine Fault Tolerance (JAC, DSM, BL, RR, LS), pp. 177–190.
CASECASE-2005-KimD #metric #process #quality #using
Quality measurement of production process plan using tolerance chart (IHK, ZD), pp. 25–30.
DACDAC-2005-BlaauwC #tool support
CAD tools for variation tolerance (DB, KC), p. 766.
DACDAC-2005-Heidergott #design
SEU tolerant device, circuit and processor design (WH), pp. 5–10.
DACDAC-2005-JayakumarK #approach #design
A variation tolerant subthreshold design approach (NJ, SPK), pp. 716–719.
DACDAC-2005-KajiharaFWMHS #process
Path delay test compaction with process variation tolerance (SK, MF, XW, TM, SH, YS), pp. 845–850.
DACDAC-2005-SuC05a #synthesis
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips (FS, KC), pp. 825–830.
DACDAC-2005-TschanzBD
Variation-tolerant circuits: circuit solutions and techniques (JT, KAB, VD), pp. 762–763.
DACDAC-2005-ZhaoZD #constraints #robust
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits (CZ, YZ, SD), pp. 190–195.
DATEDATE-2005-DhillonDC #analysis #optimisation
Soft-Error Tolerance Analysis and Optimization of Nanometer Circuits (YSD, AUD, AC), pp. 288–293.
DATEDATE-2005-IzosimovPEP #design #distributed #embedded #fault tolerance #optimisation
Design Optimization of Time-and Cost-Constrained Fault-Tolerant Distributed Embedded Systems (VI, PP, PE, ZP), pp. 864–869.
DATEDATE-2005-Marculescu #bound #design #energy #fault tolerance
Energy Bounds for Fault-Tolerant Nanoscale Designs (DM), pp. 74–79.
DATEDATE-2005-NeiroukhS #statistics #using
Improving the Process-Variation Tolerance of Digital Circuits Using Gate Sizing and Statistical Techniques (ON, XS), pp. 294–299.
DATEDATE-2005-SuC #configuration management #design #fault tolerance
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips (FS, KC), pp. 1202–1207.
SIGMODSIGMOD-2005-BalazinskaBMS #distributed #fault tolerance
Fault-tolerance in the Borealis distributed stream processing system (MB, HB, SM, MS), pp. 13–24.
VLDBVLDB-2005-ChengKPKT #adaptation #query
Adaptive Stream Filters for Entity-based Queries with Non-Value Tolerance (RC, BK, SP, AK, YCT), pp. 37–48.
FMFM-2005-WassyngLH #safety
Timing Tolerances in Safety-Critical Software (AW, ML, XH), pp. 157–172.
IFMIFM-2005-BostromW #development #distributed #fault tolerance #grid #using
Development of Fault Tolerant Grid Applications Using Distributed B (PB, MAW), pp. 167–186.
AdaEuropeAdaEurope-2005-LundqvistSG #fault tolerance
Non-intrusive System Level Fault-Tolerance (KL, JS, SG), pp. 156–166.
AdaEuropeAdaEurope-2005-RogersW #ada #fault tolerance #using
The Application of Compile-Time Reflection to Software Fault Tolerance Using Ada 95 (PR, AJW), pp. 236–247.
EDOCEDOC-2005-SantosLM #fault tolerance #framework #named #web #web service
FTWeb: A Fault Tolerant Infrastructure for Web Services (GTS, LCL, CM), pp. 95–105.
ICEISICEIS-v4-2005-TosicZ #fault tolerance
Generic Fault-Tolerant Layer Supporting Publish/Subscribe Messaging (MT, ABZ), pp. 111–118.
SACSAC-2005-JafariDBS #adaptation #fault tolerance #monitoring
Adaptive and fault tolerant medical vest for life-critical medical monitoring (RJ, FD, PB, MS), pp. 272–279.
SACSAC-2005-KanedaTET #fault tolerance #object-oriented #transaction
Transactional agent model for fault-tolerant object systems (TK, YT, TE, MT), pp. 1133–1138.
SACSAC-2005-ZorzoM #fault tolerance
An agent model for fault-tolerant systems (AFZ, FRM), pp. 60–65.
CGOCGO-2005-ReisCVRA #fault tolerance #named
SWIFT: Software Implemented Fault Tolerance (GAR, JC, NV, RR, DIA), pp. 243–254.
CGOCGO-2005-ZhangZP
Building Intrusion-Tolerant Secure Software (TZ, XZ, SP), pp. 255–266.
HPDCHPDC-2005-BatsakisB #clustering #fault tolerance
Cluster delegation: high-performance, fault-tolerant data sharing in NFS (AB, RCB), pp. 100–109.
PPoPPPPoPP-2005-ChenFGLABD #approach #fault tolerance #performance
Fault tolerant high performance computing by a coding approach (ZC, GEF, EG, JL, TA, GB, JD), pp. 213–223.
SOSPSOSP-2005-Abd-El-MalekGGRW #fault tolerance
Fault-scalable Byzantine fault-tolerant services (MAEM, GRG, GRG, MKR, JJW), pp. 59–74.
SOSPSOSP-2005-AiyerACDMP #fault tolerance
BAR fault tolerance for cooperative services (ASA, LA, AC, MD, JPM, CP), pp. 45–58.
DACDAC-2004-ChangHW
Re-synthesis for delay variation tolerance (SCC, CTH, KCW), pp. 814–819.
DACDAC-2004-JacomeHVB #design #fault #paradigm #probability
Defect tolerant probabilistic design paradigm for nanotechnologies (MFJ, CH, GdV, SB), pp. 596–601.
DACDAC-2004-WohlWP #architecture #scalability
Scalable selector architecture for x-tolerant deterministic BIST (PW, JAW, SP), pp. 934–939.
DACDAC-2004-ZhangDC #distributed #embedded #energy #fault tolerance #realtime
Energy-aware deterministic fault tolerance in distributed real-time embedded systems (YZ, RPD, KC), pp. 550–555.
DATEDATE-v2-2004-HuangTL #fault tolerance #programmable
Fault Tolerance of Programmable Switch Blocks (JH, MBT, FL), pp. 1358–1359.
DATEDATE-v2-2004-PinelloCS #deployment #embedded #fault tolerance #realtime
Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications (CP, LPC, ALSV), pp. 1164–1169.
DATEDATE-v2-2004-Stanley-MarbellM #adaptation #fault tolerance
Local Decisions and Triggering Mechanisms for Adaptive Fault-Tolerance (PSM, DM), pp. 968–973.
DATEDATE-v2-2004-ZhangC #analysis #embedded #fault tolerance #realtime #scalability
Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems (YZ, KC), pp. 1170–1175.
SIGMODSIGMOD-2004-ShahHB #fault tolerance #parallel
Highly-Available, Fault-Tolerant, Parallel Dataflows (MAS, JMH, EAB), pp. 827–838.
SEFMSEFM-2004-LaibinisT #architecture #fault tolerance #specification
Fault Tolerance in a Layered Architecture: A General Specification Pattern in B (LL, ET), pp. 346–355.
ECIRECIR-2004-Esser #fault tolerance #information retrieval #multi
Fault-Tolerant Fulltext Information Retrieval in Digital Multilingual Encyclopedias with Weighted Pattern Morphing (WME), pp. 338–352.
ICPRICPR-v3-2004-HanJ #fault tolerance #image #parallel
From Massively Parallel Image Processors to Fault-Tolerant Nanocomputers (JH, PJ), pp. 2–7.
LOPSTRLOPSTR-2004-KulkarniBE #automation #fault tolerance #source code #synthesis #verification
Mechanical Verification of Automatic Synthesis of Fault-Tolerant Programs (SSK, BB, AE), pp. 36–52.
SACSAC-2004-Al-SadiA #algorithm #fault tolerance #performance #using
Efficient fault-tolerant routing algorithm for OTIS-cube using unsafety vectors (JAS, AMA), pp. 1426–1430.
FATESFATES-2004-ArtsCS #development #erlang #fault tolerance #protocol
Semi-formal Development of a Fault-Tolerant Leader Election Protocol in Erlang (TA, KC, HS), pp. 140–154.
DACDAC-2003-HuaQB #energy #multi #reduction
Energy reduction techniques for multimedia applications with tolerance to deadline misses (SH, GQ, SSB), pp. 131–136.
DACDAC-2003-LimaCR #design #fault tolerance
Designing fault tolerant systems into SRAM-based FPGAs (FL, LC, RAdLR), pp. 650–655.
ICSMEICSM-2003-KlusenerL #semiparsing
Deriving tolerant grammars from a base-line grammar (SK, RL), p. 179–?.
AdaEuropeAdaEurope-2003-Kienzle #bibliography #fault tolerance #perspective
Software Fault Tolerance: An Overview (JK), pp. 45–67.
AdaEuropeAdaEurope-2003-Patinno-MartinezJR #fault tolerance #transaction
Transactions and Groups as Generic Building Blocks for Software Fault Tolerance (MPM, RJP, ABR), pp. 208–219.
SEKESEKE-2003-DingZSA #architecture #fault tolerance #specification #validation
Specification and Validation of Fault-Tolerant Software Architectures Based on Actor Model (HD, CZ, LS, GA), pp. 458–466.
ESEC-FSEESEC-FSE-2003-GuerraRRL #architecture #fault tolerance
A fault-tolerant software architecture for COTS-based software systems (PAdCG, CMFR, ABR, RdL), pp. 375–378.
ICSEICSE-2003-SmeikalG #case study #distributed #fault tolerance
Fault-tolerance in a Distributed Management System: a Case Study (RS, KMG), pp. 478–483.
HPCAHPCA-2003-ChristodoulopoulouAB #approach #clustering #fault tolerance #memory management #replication
Dynamic Data Replication: An Approach to Providing Fault-Tolerant Shared Memory Clusters (RC, RA, AB), pp. 203–214.
SOSPSOSP-2003-YinMVAD #execution #fault tolerance
Separating agreement from execution for byzantine fault tolerant services (JY, JPM, AV, LA, MD), pp. 253–267.
DATEDATE-2002-Leveugle #automation #detection #fault
Automatic Modifications of High Level VHDL Descriptions for Fault Detection or Tolerance (RL), pp. 837–841.
SEKESEKE-2002-LoiaSS #deduction #named #web
LearnMiner: deductive, tolerant agents for discovering didactic resources on the web (VL, SS, MIS), pp. 109–115.
SACSAC-2002-Al-SadiDO #algorithm #fault tolerance #probability
Probability vectors: a new fault-tolerant routing algorithm for k-ary n-cubes (JAS, KD, MOK), pp. 830–834.
SACSAC-2002-Moreau #fault tolerance #mobile #pointer
A fault-tolerant directory service for mobile agents based on forwarding pointers (LM), pp. 93–100.
HPCAHPCA-2002-WangWCGKS #execution #memory management
Memory Latency-Tolerance Approaches for Itanium Processors: Out-of-Order Execution vs. Speculative Precomputation (PHW, HW, JDC, EG, RMK, JPS), pp. 187–196.
WICSAWICSA-2001-Sotirovski #architecture #fault tolerance #towards
Towards Fault-tolerant Software Architectures (DMS), pp. 7–13.
ICDARICDAR-2001-Ishitani #documentation #fault #image #information management #modelling
Model-Based Information Extraction Method Tolerant of OCR Errors for Document Images (YI), pp. 908–915.
KDDKDD-2001-YangFB #performance
Efficient discovery of error-tolerant frequent itemsets in high dimensions (CY, UMF, PSB), pp. 194–203.
RERE-2001-GobboM #case study #fault tolerance #re-engineering #requirements #specification
Re-Engineering Fault Tolerance Requirements: A Case Study in Specifying Fault Tolerant Flight Control Systems (DDG, AM), pp. 236–247.
ICSEICSE-2001-Balzer01a #consistency #nondeterminism #revisited
“Tolerating Inconsistency” Revisited (RB), p. 665.
SOSPSOSP-2001-RodriguesCL #abstraction #fault tolerance #named #using
BASE: Using Abstraction to Improve Fault Tolerance (RR, MC, BL), pp. 15–28.
FASEFASE-2000-DondossolaB #fault tolerance #formal method #specification
System Fault Tolerance Specification: Proposal of a Method Combining Semi-formal and Formal Approaches (GD, OB), pp. 82–96.
STOCSTOC-2000-BlumKW #learning #problem #query #statistics
Noise-tolerant learning, the parity problem, and the statistical query model (AB, AK, HW), pp. 435–440.
STOCSTOC-2000-DamMMS #fault tolerance #quantum #self #set
Self-testing of universal and fault-tolerant sets of quantum gates (WvD, FM, MM, MS), pp. 688–696.
ICPRICPR-v4-2000-OkunP #analysis #automation #documentation #evaluation #generative #layout
Automatic Ground-Truth Generation for Skew-Tolerance Evaluation of Document Layout Analysis Methods (OO, MP), pp. 4376–4379.
TOOLSTOOLS-USA-2000-PolzeSM #automation #fault tolerance #generative
Automatic Generation of Fault-Tolerant CORBA-Services (AP, JS, MM), p. 205–?.
ICSEICSE-2000-LittlewoodS00a #assessment #design #fault #fault tolerance #reliability #tutorial
Fault tolerance via diversity against design faults (tutorial session): design principles and reliability assessment (BL, LS), p. 835.
ASPLOSASPLOS-2000-SundaramoorthyPR #fault tolerance #performance
Slipstream Processors: Improving both Performance and Fault Tolerance (KS, ZP, ER), pp. 257–268.
LCTESLCTES-2000-JeonKHK #corba #embedded #fault tolerance
A Fault Tolerance Extension to the Embedded CORBA for the CAN Bus Systems (GJ, THK, SH, SK), pp. 114–133.
OSDIOSDI-2000-CastroL #fault tolerance
Proactive Recovery in a Byzantine-Fault-Tolerant System (MC, BL), pp. 273–288.
ASEASE-1999-MiliCXA #fault tolerance
Combining Fault Avoidance, Fault Removal and Fault Tolerance: An Integrated Model (AM, BC, TX, RBA), p. 137–?.
ICDARICDAR-1999-KameshiroHOY #documentation #fault #image #multi #recognition #retrieval #segmentation #using
A Document Image Retrieval Method Tolerating Recognition and Segmentation Errors of OCR using Shape-Feature and Multiple Candidates (TK, TH, YO, FY), pp. 681–684.
AdaEuropeAdaEurope-1999-RogersW #fault tolerance #incremental
An Incremental RecoveryCache Supporting Sotware Fault Tolerance (PR, AJW), pp. 385–396.
AdaEuropeAdaEurope-1999-WolfS #ada #distributed #fault tolerance #replication
Fault Tolerance by Transparent Replication for Distributed Ada 95 (TW, AS), pp. 412–424.
ICEISICEIS-1999-AlexandrovichNC #design #fault tolerance #framework #simulation
The Integrated Framework for Fault-Tolerant System Simulation and Design (AEA, RMN, VOC), p. 771.
ICMLICML-1999-Pompe #induction #recursion
Noise-Tolerant Recursive Best-First Induction (UP), pp. 315–324.
TOOLSTOOLS-ASIA-1999-ZhaoCX #fault tolerance #framework #object-oriented
An Object-Oriented Developing Framework of Fault-Tolerance System (XZ, DC, LX), pp. 232–238.
SACSAC-1999-Al-OmariM #fault tolerance #using
Fault-Tolerant Routing in Hypercubes Using Masked Interval Routing Scheme (MAO, MM), pp. 481–485.
SACSAC-1999-CaoD #fault tolerance #multi #network
Fault-Tolerant Routing and Multicasting in Butterfly Networks (FC, DZD), pp. 455–460.
HPDCHPDC-1999-AgbariaF #clustering #fault tolerance #named #source code
Starfish: Fault-Tolerant Dynamic MPI Programs on Clusters of Workstations (AA, RF), pp. 167–176.
HPDCHPDC-1999-Weissman #fault tolerance #grid #question #what
Fault Tolerant Computing on the Grid: What are My Options? (JBW), pp. 351–352.
OSDIOSDI-1999-CastroL #fault tolerance
Practical Byzantine Fault Tolerance (MC, BL), pp. 173–186.
CADECADE-1999-Hickey #distributed #fault tolerance #proving #theorem proving
Fault-Tolerant Distributed Theorem Proving (JH), pp. 227–231.
DATEDATE-1998-HedrichB #approach #formal method #linear #parametricity #verification
A Formal Approach to Verification of Linear Analog Circuits with Parameter Tolerances (LH, EB), pp. 649–654.
STOCSTOC-1998-LevcopoulosNS #algorithm #fault tolerance #geometry #performance
Efficient Algorithms for Constructing Fault-Tolerant Geometric Spanners (CL, GN, MHMS), pp. 186–195.
AdaEuropeAdaEurope-1998-Patino-MartinezJA #ada #fault tolerance #transaction
Integrating Groups and Transactions: A Fault-Tolerant Extension of Ada (MPM, RJP, SA), pp. 78–89.
AdaSIGAda-1998-PinhoV #ada #architecture #fault tolerance #multi #named #realtime
Multi-μ: An Ada 95 Based Architecture for Fault Tolerance Support of Real-Time Systems (LMP, FV), pp. 52–60.
AdaSIGAda-1998-TardieuP #distributed #fault tolerance #multi #using
Building Fault Tolerant Distributed Systems Using IP Multicast (ST, LP), pp. 45–51.
REICRE-1998-SchneiderECH #fault tolerance #model checking #requirements #using #validation
Validating Requirements for Fault Tolerant Systems using Model Checking (FS, SME, JRC, GJH), pp. 4–13.
HPCAHPCA-1998-MowyCL #comparative #distributed #evaluation #latency #memory management
Comparative Evaluation of Latency Tolerance Techniques for Software Distributed Shared Memory (TCM, CQCC, AKWL), pp. 300–311.
DACDAC-1997-TianS #agile #fault #parametricity #simulation
Rapid Frequency-Domain Analog Fault Simulation Under Parameter Tolerances (MWT, CJRS), pp. 275–280.
ICDARICDAR-1997-WongMX #fault tolerance #recognition
A Chinese Bank Check Recognition System Based on the Fault Tolerant Technique (SW, FM, SX), pp. 1038–1042.
STOCSTOC-1997-AharonovB #constant #fault tolerance #quantum
Fault-Tolerant Quantum Computation With Constant Error (DA, MBO), pp. 176–188.
AdaEuropeAdaEurope-1997-GuerraMAA #ada #distributed #fault tolerance #library
An Ada Library to Program Fault-Tolerant Distributed Applications (FGS, FJMG, AA, SA), pp. 230–243.
AdaTRI-Ada-1997-QuirosGC #approach #distributed #fault tolerance #programming
Programming Distributed Fault Tolerant Systems: The replicAda Approach (PdlHQ, JMGB, JCG), pp. 21–29.
EDOCEDOC-1997-FujisakiHK #distributed #fault tolerance #network #scalability #using
A Scalable Fault-Tolerant Network Management System Built Using Distributed Object Technology (TF, MH, KK), pp. 140–148.
TOOLSTOOLS-ASIA-1997-Exton #distributed #fault tolerance #interface #specification
Distributed Fault Tolerance Specification through the use of Interface Definitions (CE), pp. 254–259.
DACDAC-1996-NevesF #process #scheduling
Optimal Clock Skew Scheduling Tolerant to Process Variations (JLN, EGF), pp. 623–628.
SIGMODSIGMOD-1996-OzdenRSS #architecture #fault tolerance
Fault-tolerant Architectures for Continuous Media Servers (, RR, PJS, AS), pp. 79–90.
STOCSTOC-1996-BshoutyGMST #concept #geometry #learning
Noise-Tolerant Distribution-Free Learning of General Geometric Concepts (NHB, SAG, HDM, SS, HT), pp. 151–160.
STOCSTOC-1996-Cesa-BianchiDFS #bound #learning
Noise-Tolerant Learning Near the Information-Theoretic Bound (NCB, ED, PF, HUS), pp. 141–150.
STOCSTOC-1996-Ma #fault tolerance #network #sorting
An O(n log n)-Size Fault-Tolerant Sorting Network (Extended Abstract) (YM), pp. 266–275.
FMFME-1996-SeguinW #approach #category theory #fault tolerance #logic #using #validation
Using a Logical and Categorical Approach for the Validation of Fault-Tolerant Systems (CS, VW), pp. 347–366.
AdaEuropeAdaEurope-1996-GuerraouiS #distributed #fault tolerance #replication
Fault-Tolerance by Replication in Distributed Systems (RG, AS), pp. 38–57.
AdaEuropeAdaEurope-1996-MirandaAAG #ada #distributed #fault tolerance #named
Drago: An Ada Extension to Program Fault-Tolerant Distributed Applications (FJMG, AA, SA, FGS), pp. 235–246.
AdaTRI-Ada-1996-KermarrecNP #ada #distributed #fault tolerance
Providing Fault-Tolerant Services to Distributed Ada 95 Applications (YK, LN, LP), pp. 39–47.
ICMLICML-1996-GoldmanS #algorithm #empirical
A Theoretical and Empirical Study of a Noise-Tolerant Algorithm to Learn Geormetric Patterns (SAG, SDS), pp. 191–199.
ICPRICPR-1996-DunkerHS #3d #estimation #prototype #recognition #set #using
Single view recognition and pose estimation of 3D objects using sets of prototypical views and spatially tolerant contour representations (JD, GH, MS), pp. 14–18.
ICPRICPR-1996-FaymanRM #fault tolerance #realtime
Real-time active vision with fault tolerance (JAF, ER, DM), pp. 279–283.
HPCAHPCA-1996-ChalasaniB #fault tolerance #multi
Fault-Tolerance with Multimodule Routers (SC, RVB), pp. 201–210.
HPCAHPCA-1996-Libeskind-HadasWH #fault tolerance #multi
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels (RLH, KW, TH), pp. 180–190.
SIGMODSIGMOD-1995-BersonGM #design #fault tolerance #multi
Fault Tolerant Design of Multimedia Servers (SB, LG, RRM), pp. 364–375.
SIGMODSIGMOD-1995-Shyy #database #fault tolerance #replication
VERSANT Replication: Supporting Fault-Tolerant Object Databases (YMS, HSAY, CPC), pp. 441–442.
AdaEuropeAdaEurope-1995-DavidPCC #ada #fault tolerance #realtime
Developing Fault Tolerant Software in Ada for Real-Time Dependable Systems (PD, TP, AC, JFC), pp. 21–50.
RERE-1995-FieldsWH #approach #fault #requirements
A task centered approach to analysing human error tolerance requirements (BF, PCW, MDH), pp. 18–26.
SACSAC-1995-BhattM #distributed #fault tolerance #process
A front-end process for fault tolerant distributed systems (PB, RAM), pp. 411–414.
HPCAHPCA-1995-CunninghamA #2d #adaptation #fault tolerance
Fault-Tolerant Adaptive Routing for Two-Dimensional Meshes (CMC, DRA), pp. 122–131.
HPCAHPCA-1995-Libeskind-HadasB #fault tolerance
Origin-Based Fault-Tolerant routing in the Mesh (RLH, EB), pp. 102–111.
HPDCHPDC-1995-BaratlooDK #distributed #fault tolerance #named #novel #parallel
CALYPSO: A Novel Software System for Fault-Tolerant Parallel Processing on Distributed Platforms (AB, PD, ZMK), pp. 122–129.
SOSPSOSP-1995-BressoudS #fault tolerance
Hypervisor-based Fault-tolerance (TCB, FBS), pp. 1–11.
STOCSTOC-1994-KalyanasundaramP #fault tolerance #scheduling
Fault-tolerant scheduling (BK, KP), pp. 115–124.
STOCSTOC-1994-KarlinNT #fault tolerance #on the
On the fault tolerance of the butterfly (ARK, GN, HT), pp. 125–133.
ICGTTAGT-1994-DerkD #configuration management #fault tolerance #graph grammar #parallel
Reconfiguration Graph Grammar for Massively Parallel, Fault Tolerant Computers (MDD, LSD), pp. 185–195.
AdaEuropeAdaEurope-1994-RogersP #ada #distributed #fault tolerance
The AECSS Fault Tolerant Distributed Ada Testbed and Application (PR, MP), pp. 43–59.
AdaTRI-Ada-1994-BrelandRBN #ada #distributed #fault tolerance
Transparent Fault Tolerance for Distributed Ada Applications (MAB, SAR, GPB, KLN), pp. 446–457.
REICRE-1994-WrightFH #requirements
Deriving human-error tolerance requirements from tasks (PCW, BF, MDH), pp. 135–142.
HPDCHPDC-1994-ChiuC #distributed #fault tolerance #performance
Process-Replication Technique for Fault Tolerance and Performance Improvement in Distributed Computing Systems (JFC, GMC), pp. 236–243.
ICLPICLP-1994-McCainT #independence #logic programming #source code
Language Independence and Language Tolerance in Logic Programs (NM, HT), pp. 38–57.
DACDAC-1993-GraebWA #analysis #optimisation #worst-case
Improved Methods for Worst-Case Analysis and Optimization Incorporating Operating Tolerances (HEG, CUW, KA), pp. 142–147.
DACDAC-1993-Leveugle #fault tolerance
Optimized State Assignment of single fault Tolerant FSMs Based on SEC Codes (RL), pp. 14–18.
STOCSTOC-1993-Kearns #learning #performance #query #statistics
Efficient noise-tolerant learning from statistical queries (MJK), pp. 392–401.
ICALPICALP-1993-Hemachandra #complexity #fault tolerance
Fault-Tolerance and Complexity (Extended Abstract) (LAH), pp. 189–202.
FMFME-1993-Butterfield #fault tolerance #towards
A VDM Study of Fault-Tolerant Stable Storage — Towards a Computer Engineering Mathematics (AB), pp. 216–234.
FMFME-1993-CauR #fault tolerance #refinement #using
Using Relative Refinement for Fault Tolerance (AC, WPdR), pp. 19–41.
FMFME-1993-OwreRSH #architecture #fault tolerance #lessons learnt #verification
Formal Verification for Fault-Tolerant Architectures: Some Lessons Learned (SO, JMR, NS, FWvH), pp. 482–500.
AdaTRI-Ada-1993-RogersOPL #ada #distributed #fault tolerance
Demonstrable Fault Tolerance for Distributed Ada (PR, SO, MP, KL), pp. 180–188.
SEKESEKE-1993-ChangPFYE #design #distributed #fault tolerance #information management #object-oriented #realtime
The Design of Real-Time Distributed information Systems with Object-Oriented and Fault-Tolerant Characteristics (SKC, AP, JCAdF, BY, WDE), pp. 36–45.
SACSAC-1993-ClematisG #fault tolerance #source code
A Hierarchical Structure for Fault Tolerant Reactive Programs (AC, VG), pp. 208–214.
SACSAC-1993-PattersonTH #distributed #fault tolerance
Construction of a Fault-Tolerant Distributed Tuple-Space (LIP, RST, RMH), pp. 279–285.
DACDAC-1992-ChakradharKA #fault tolerance #finite #state machine #synthesis
Finite State Machine Synthesis with Fault Tolerant Test Function (STC, SK, VDA), pp. 562–567.
DACDAC-1992-KarriO #fault tolerance #synthesis
Transformation-Based High-Level Synthesis of Fault-Tolerant ASICs (RK, AO), pp. 662–665.
PODSPODS-1992-RabinovichL #commit #database #fault tolerance #protocol
A Fault-Tolerant Commit Protocol for Replicated Databases (MR, EDL), pp. 139–148.
PODSPODS-1992-WongA #bound #concurrent #consistency #database #nondeterminism
Tolerating Bounded Inconsistency for Increasing Concurrency in Database Systems (MHW, DA), pp. 236–245.
SIGMODSIGMOD-1992-RabinovichL #fault tolerance #protocol
Improving Fault Tolerance and Supporting Partial Writes in Structured Coterie Protocols for Replicated Objects (MR, EDL), pp. 226–235.
STOCSTOC-1992-ChoyS #algorithm #distributed #fault tolerance #performance #resource management
Efficient Fault Tolerant Algorithms for Resource Allocation in Distributed Systems (MC, AKS), pp. 593–602.
STOCSTOC-1992-Lin #communication #fault tolerance #network
Fault Tolerant Planar Communication Networks (GL), pp. 133–139.
AdaTRI-Ada-C-1992-CramerD #ada #fault tolerance
The Use of Ada to Achieve Fault Tolerance in AAS (RSC, JDD), pp. 545–552.
TOOLSTOOLS-EUROPE-1992-RomanovskySV #design #fault tolerance #object-oriented #programming
Designing Fault-Tolerant Objects in Object-Oriented Programming (ABR, IVS, VRV), pp. 199–205.
ISMMIWMM-1992-PlainfosseS #distributed #experience #fault tolerance #garbage collection
Experience with a Fault-Tolerant Garbage Collector in a Distributed List System (DP, MS), pp. 116–133.
VLDBVLDB-1991-SullivanS #data type #database #fault tolerance #using
Using Write Protected Data Structures To Improve Software Fault Tolerance in Highly Available Database Management Systems (MS, MS), pp. 171–180.
ICMLML-1991-BrunkP #algorithm #concept #learning #relational
An Investigation of Noise-Tolerant Relational Concept Learning Algorithms (CB, MJP), pp. 389–393.
ICSEICSE-1991-Balzer #consistency #nondeterminism
Tolerating Inconsistency (RB), pp. 158–165.
DACDAC-1989-PitaksanonkulTLG #algorithm #named
DTR: A Defect-Tolerant Routing Algorithm (AP, ST, CL, JAG), pp. 795–798.
SOSPSOSP-1989-GrayC #consistency #distributed #fault tolerance #named #performance
Leases: An Efficient Fault-Tolerant Mechanism for Distributed File Cache Consistency (CGG, DRC), pp. 202–210.
DACDAC-1988-WehnGCMR
A Defect-Tolerant and Fully Testable PLA (NW, MG, KC, PM, AR), pp. 22–33.
STOCSTOC-1988-Ben-OrGW #distributed #fault tolerance #theorem
Completeness Theorems for Non-Cryptographic Fault-Tolerant Distributed Computation (Extended Abstract) (MBO, SG, AW), pp. 1–10.
STOCSTOC-1988-BermanS #fault tolerance #network
Investigations of Fault-Tolerant Networks of Computers (Preliminary Version) (PB, JS), pp. 66–77.
HCIHCI-CE-1987-Motro #database #design #user interface
The Design of FLEX: A Tolerant and Cooperative User Interface to Databases (AM), pp. 583–591.
STOCSTOC-1986-DworkPPU #bound #fault tolerance #network
Fault Tolerance in Networks of Bounded Degree (Preliminary Version) (CD, DP, NP, EU), pp. 370–379.
PODSPODS-1985-AbbadiSC #data transformation #fault tolerance #performance #protocol
An Efficient, Fault-Tolerant Protocol for Replicated Data Management (AEA, DS, FC), pp. 215–229.
STOCSTOC-1985-Feldman #fault tolerance #network
Fault Tolerance of Minimal Path Routings in a Network (PF), pp. 327–334.
SOSPSOSP-1985-Birman #fault tolerance #replication
Replication and Fault-Tolerance in the ISIS System (KPB), pp. 79–86.
DACDAC-1984-Kingsley
A hiererachical, error-tolerant compactor (CK), pp. 126–132.
STOCSTOC-1984-BroderDFS #fault tolerance #network #performance
Efficient Fault Tolerant Routings in Networks (AZB, DD, MJF, BS), pp. 536–541.
STOCSTOC-1984-DolevHSS #fault tolerance #network
A New Look at Fault Tolerant Network Routing (DD, JYH, BS, HRS), pp. 526–535.
SOSPSOSP-1983-BorgBG #fault tolerance
A Message System Supporting Fault Tolerance (AB, JB, SG), pp. 90–99.
DACDAC-1980-Patel
Computer-aided assignment of manufacturing tolerances (AMP), pp. 129–133.
ICSEICSE-1978-BoiM #design #fault tolerance
Design and Principles of a Fault Tolerant System (LB, PM), pp. 207–214.
DACDAC-1976-PaulT #concept #evaluation #fault tolerance #named #simulation
SEGMA — A simulation package for conception aid and fault tolerance evaluation of computing systems (JLP, RT), pp. 278–284.
ICSEICSE-1976-WensleyGLS #analysis #design #fault tolerance #verification
The Design, Analysis, and Verification of the SIFT Fault-Tolerant System (JHW, MWG, KNL, RES), pp. 458–469.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.