BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
toler (284)
test (200)
base (157)
system (140)
use (125)

Stem fault$ (all stems)

1039 papers:

QoSAQoSA-2015-AlzahraniP #aspect-oriented #fault tolerance #modelling #reuse
Modeling Fault Tolerance Tactics with Reusable Aspects (NAMA, DCP), pp. 43–52.
CASECASE-2015-AcarS #design #fault
Discrete event supervisor design and application for manufacturing systems with arbitrary faults and repairs (ANA, KWS), pp. 825–830.
CASECASE-2015-BoH #clustering #fault #process
Qualitative trend clustering of process data for fault diagnosis (ZB, YH), pp. 1584–1588.
CASECASE-2015-LiYTC #architecture #fault
Extracting relevant features for diagnosing machine tool faults in cloud architecture (YYL, HCY, HT, FTC), pp. 1434–1439.
CASECASE-2015-ShinarTG #fault tolerance #robust
Fault-tolerant robust capture zone with respect to two faults (JS, VT, VYG), pp. 1036–1041.
CASECASE-2015-YanLP #fault
A fault diagnosis method for HVAC Air Handling Units considering fault propagation (YY, PBL, KRP), pp. 961–966.
CASECASE-2015-ZakharovZYJ #algorithm #configuration management #distributed #fault tolerance #optimisation #performance #predict
A performance optimization algorithm for controller reconfiguration in fault tolerant distributed model predictive control (AZ, EZ, MY, SLJJ), pp. 886–891.
CASECASE-2015-ZhangHZ #detection #fault #metric
Fault detection for wireless networked systems with compressed measurements (JZ, XH, DHZ), pp. 356–361.
DACDAC-2015-GanapathyKTB #fault
Mitigating the impact of faults in unreliable memories for error-resilient applications (SG, GK, AT, AB), p. 6.
DACDAC-2015-LiuZWYX #analysis #difference #encryption #fault #named
DERA: yet another differential fault attack on cryptographic devices based on error rate analysis (YL, JZ, LW, FY, QX), p. 6.
DACDAC-2015-PalerD #fault tolerance #quantum
An introduction into fault-tolerant quantum computing (AP, SJD), p. 6.
DATEDATE-2015-DeyCAM #analysis #difference #fault
Improved practical differential fault analysis of grain-128 (PD, AC, AA, DM), pp. 459–464.
DATEDATE-2015-DuqueDY #adaptation #behaviour #fault #reliability #runtime
Improving MPSoC reliability through adapting runtime task schedule based on time-correlated fault behavior (LARD, JMMD, CY), pp. 818–823.
DATEDATE-2015-GorevUD #fault #parallel #simulation
Fault simulation with parallel exact critical path tracing in multiple core environment (MG, RU, SD), pp. 1180–1185.
DATEDATE-2015-HanFNQ #energy #fault tolerance #multi #scheduling
Energy minimization for fault tolerant scheduling of periodic fixed-priority applications on multiprocessor platforms (QH, MF, LN, GQ), pp. 830–835.
DATEDATE-2015-HollerKRRK #compilation #detection #evaluation
Evaluation of diverse compiling for software-fault detection (AH, NK, TR, KR, CK), pp. 531–536.
DATEDATE-2015-JovanovicP #product line
Fault-based attacks on the Bel-T block cipher family (PJ, IP), pp. 601–604.
DATEDATE-2015-KumarAL #detection #fault #monitoring
Operational fault detection and monitoring of a memristor-based LUT (TNK, HAFA, FL), pp. 429–434.
DATEDATE-2015-KunduBK #design #fault #testing
Fault diagnosis in designs with extreme low pin test data compressors (SK, PB, RK), pp. 1285–1288.
DATEDATE-2015-LiDC #algorithm #clustering #power of
A scan partitioning algorithm for reducing capture power of delay-fault LBIST (NL, ED, GC), pp. 842–847.
DATEDATE-2015-LoCH #architecture #clustering #fault
Architecture of ring-based redundant TSV for clustered faults (WHL, KC, TH), pp. 848–853.
DATEDATE-2015-MohammadiGM #fault #modelling
Fault modeling in controllable polarity silicon nanowire circuits (HGM, PEG, GDM), pp. 453–458.
DATEDATE-2015-NowosielskiGBVB #design #fault tolerance #named
FLINT: layout-oriented FPGA-based methodology for fault tolerant ASIC design (RN, LG, SB, GPV, HB), pp. 297–300.
DATEDATE-2015-SchneiderHKWW #fault #simulation
GPU-accelerated small delay fault simulation (ES, SH, MAK, XW, HJW), pp. 1174–1179.
DATEDATE-2015-ZhangPJLF #fault #self
Temperature-aware software-based self-testing for delay faults (YZ, ZP, JJ, HL, MF), pp. 423–428.
SIGMODSIGMOD-2015-AlvaroRH #fault #injection
Lineage-driven Fault Injection (PA, JR, JMH), pp. 331–346.
SIGMODSIGMOD-2015-SalamaBKZ #cost analysis #fault tolerance #parallel
Cost-based Fault-tolerance for Parallel Data Processing (AS, CB, TK, EZ), pp. 285–297.
VLDBVLDB-2015-WangBH #datalog #evaluation #fault tolerance #recursion
Asynchronous and Fault-Tolerant Recursive Datalog Evaluation in Shared-Nothing Engines (JW, MB, DH), pp. 1542–1553.
FASEFASE-2015-LandsbergCKL #evaluation #fault #locality #metric #optimisation #statistics
Evaluation of Measures for Statistical Fault Localisation and an Optimising Scheme (DL, HC, DK, ML), pp. 115–129.
TACASTACAS-2015-DemasiCRMA #fault tolerance #named #source code #specification
syntMaskFT: A Tool for Synthesizing Masking Fault-Tolerant Programs from Deontic Specifications (RD, PFC, NR, TSEM, NA), pp. 188–193.
ICPCICPC-2015-ChittimalliS #fault #locality #testing
Fault localization during system testing (PKC, VS), pp. 285–286.
ICSMEICSME-2015-LeLL #fault #feature model
Constrained feature selection for localizing faults (TDBL, DL, ML), pp. 501–505.
MSRMSR-2015-AltingerSDW #dataset #embedded #fault #industrial #modelling #novel #predict
A Novel Industry Grade Dataset for Fault Prediction Based on Model-Driven Developed Automotive Embedded Software (HA, SS, YD, FW), pp. 494–497.
SCAMSCAM-2015-SzokeNFFG #automation #named #refactoring #smell #tool support
FaultBuster: An automatic code smell refactoring toolset (GS, CN, LJF, RF, TG), pp. 253–258.
HCIDUXU-DD-2015-MartinsMS #case study #fault
A Study of the Fault Tree Human in Aviation (ETM, ITM, MMS), pp. 524–534.
ICEISICEIS-v1-2015-SilveiraCC #fault #monitoring #testing
Monitoring and Diagnosis of Faults in Tests of Rational Agents based on Condition-action Rules (FRdVS, GALdC, MIC), pp. 585–592.
SEKESEKE-2015-XuSZ #algorithm #policy #testing
Fault-Based Testing of Combining Algorithms in XACML3.0 Policies (DX, NS, YZ), pp. 433–438.
SACSAC-2015-AlvesMM #case study #detection #fault #impact analysis #refactoring #test coverage
Test coverage and impact analysis for detecting refactoring faults: a study on the extract method refactoring (ELGA, TM, PDdLM), pp. 1534–1540.
SACSAC-2015-BekkoucheCR #approach #constraints #fault #locality #named
LocFaults: a new flow-driven and constraint-based error localization approach (MB, HC, MR), pp. 1773–1780.
ICSEICSE-v1-2015-WinterSNSC #fault #injection #parallel
No PAIN, No Gain? The Utility of PArallel Fault INjections (SW, OS, RN, NS, DC), pp. 494–505.
ICSEICSE-v1-2015-YuBC #approach #fault #multi #testing
Does the Failing Test Execute a Single or Multiple Faults? An Approach to Classifying Failing Tests (ZY, CB, KYC), pp. 924–935.
ICSEICSE-v2-2015-Inozemtseva #comprehension #fault #process
Understanding the Software Fault Introduction Process (LI), pp. 843–846.
SPLCSPLC-2015-ReulingBRLK #effectiveness #generative #product line #testing
Fault-based product-line testing: effective sample generation based on feature-diagram mutation (DR, JB, SR, ML, UK), pp. 131–140.
HPCAHPCA-2015-JinPSCSZ #fault #hardware #named
FTXen: Making hypervisor resilient to hardware faults on relaxed cores (XJ, SP, TS, RC, ZS, YZ), pp. 451–462.
HPCAHPCA-2015-KimE #performance #reliability #trade-off
Balancing reliability, cost, and performance tradeoffs with FreeFault (DWK, ME), pp. 439–450.
HPCAHPCA-2015-PalframanKL #energy #fault #named #performance
iPatch: Intelligent fault patching to improve energy efficiency (DJP, NSK, MHL), pp. 428–438.
HPDCHPDC-2015-ElliottHM #fault #linear
A Numerical Soft Fault Model for Iterative Linear Solvers (JE, MH, FM), pp. 271–274.
ICSTICST-2015-ArcainiGV #detection #fault #feature model #generative #modelling #testing
Generating Tests for Detecting Faults in Feature Models (PA, AG, PV), pp. 1–10.
ICSTICST-2015-BaiSP #fault #named #using
NUMFL: Localizing Faults in Numerical Software Using a Value-Based Causal Model (ZB, GS, AP), pp. 1–10.
ISSTAISSTA-2015-CongLYX #automation #fault #injection #robust #testing
Automatic fault injection for driver robustness testing (KC, LL, ZY, FX), pp. 361–372.
ISSTAISSTA-2015-WangPO #fault #locality
Evaluating the usefulness of IR-based fault localization techniques (QW, CP, AO), pp. 1–11.
TAPTAP-2015-BirchFP #fault #locality #modelling #performance #testing
Fast Model-Based Fault Localisation with Test Suites (GB, BF, MRP), pp. 38–57.
ASEASE-2014-HollingPG #generative #lightweight #named #testing
8Cage: lightweight fault-based test generation for simulink (DH, AP, MG), pp. 859–862.
ASEASE-2014-LuciaLX #fault
Fusion fault localizers (L, DL, XX), pp. 127–138.
CASECASE-2014-HeidingsfeldKTS #fault #modelling
Model-based sensor fault diagnosis for the Stuttgart SmartShell (MH, UK, CT, OS), pp. 846–851.
CASECASE-2014-YanLP #dependence #fault #framework #integration #matrix
Fault diagnosis framework for Air Handling Units based on the integration of Dependency matrices and PCA (YY, PBL, KRP), pp. 1103–1108.
DACDAC-2014-FattahPLPT #manycore #named #runtime
SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems (MF, MP, PL, JP, HT), p. 6.
DACDAC-2014-GottschoBDNG #capacity #energy #fault tolerance #scalability
Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches (MG, AB, ND, AN, PG), p. 6.
DACDAC-2014-HuangYT #fault tolerance #on the #scheduling
On the Scheduling of Fault-Tolerant Mixed-Criticality Systems (PH, HY, LT), p. 6.
DACDAC-2014-KangYKBHT #fault tolerance
Static Mapping of Mixed-Critical Applications for Fault-Tolerant MPSoCs (SHK, HY, SK, IB, SH, LT), p. 6.
DACDAC-2014-RaiHST #detection #fault #framework #performance #realtime
An Efficient Real Time Fault Detection and Tolerance Framework Validated on the Intel SCC Processor (DR, PH, NS, LT), p. 6.
DACDAC-2014-RenMRZ #fault tolerance #network #using
Fault-tolerant Routing for On-chip Network Without Using Virtual Channels (PR, QM, XR, NZ), p. 6.
DATEDATE-2014-DweikAD #array #exception #fault
Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures (WD, MA, MD), pp. 1–6.
DATEDATE-2014-FarbehM #architecture #fault tolerance #low cost #memory management #named
PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
DATEDATE-2014-Fu0PJZ #data flow #detection #fault #parallel #thread
A fault detection mechanism in a Data-flow scheduled Multithreaded processor (JF, QY, RP, CRJ, CZ), pp. 1–4.
DATEDATE-2014-GaoGWP #energy #fault #fault tolerance #framework #in the cloud #scheduling
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems (YG, SKG, YW, MP), pp. 1–6.
DATEDATE-2014-GhalatyAS #analysis #fault
Analyzing and eliminating the causes of fault sensitivity analysis (NFG, AA, PS), pp. 1–6.
DATEDATE-2014-ImhofW #architecture #fault tolerance
Bit-Flipping Scan — A unified architecture for fault tolerance and offline test (MEI, HJW), pp. 1–6.
DATEDATE-2014-KauerSGCA #distributed #embedded #fault tolerance #synthesis #verification
Fault-tolerant control synthesis and verification of distributed embedded systems (MK, DS, DG, SC, AMA), pp. 1–6.
DATEDATE-2014-PalerDNP #fault tolerance #quantum
Software-based Pauli tracking in fault-tolerant quantum circuits (AP, SJD, KN, IP), pp. 1–4.
DATEDATE-2014-PapadimitriouHBML #clustering #fault #injection #modelling #multi #towards
A multiple fault injection methodology based on cone partitioning towards RTL modeling of laser attacks (AP, DH, VB, PM, RL), pp. 1–4.
DATEDATE-2014-Pomeranz14a #fault
Substituting transition faults with path delay faults as a basic delay fault model (IP), pp. 1–6.
DATEDATE-2014-RiefertCSBRB #approach #automation #effectiveness #fault #functional #generative #testing
An effective approach to automatic functional processor test generation for small-delay faults (AR, LMC, MS, PB, MSR, BB), pp. 1–6.
DATEDATE-2014-ZussaDTDMGCT #detection #fault #injection #performance
Efficiency of a glitch detector against electromagnetic fault injection (LZ, AD, KT, JMD, PM, LGS, JC, AT), pp. 1–6.
VLDBVLDB-2014-ZhangLTYTX #fault tolerance #internet #named #resource management #scheduling
Fuxi: a Fault-Tolerant Resource Management and Job Scheduling System at Internet Scale (ZZ, CL, YT, RY, HT, JX), pp. 1393–1404.
TACASTACAS-2014-BozzanoCGT #component #design #detection #fault #identification #logic #using
Formal Design of Fault Detection and Identification Components Using Temporal Epistemic Logic (MB, AC, MG, ST), pp. 326–340.
WRLAWRLA-2014-SunM #fault tolerance #specification
Formal Specification of Button-Related Fault-Tolerance Micropatterns (MS, JM), pp. 263–279.
SANERCSMR-WCRE-2014-PerscheidH #debugging #fault #navigation #testing #tool support
Follow the path: Debugging tools for test-driven fault navigation (MP, RH), pp. 446–449.
SANERCSMR-WCRE-2014-VidacsBTSG #approach #detection #fault #locality #reduction #testing
Test suite reduction for fault detection and localization: A combined approach (LV, ÁB, DT, IS, TG), pp. 204–213.
SANERCSMR-WCRE-2014-XieKZK #empirical #migration
An empirical study on the fault-proneness of clone migration in clone genealogies (SX, FK, YZ, IK), pp. 94–103.
ICSMEICSME-2014-AbreuCFMPS #fault #smell #spreadsheet
Smelling Faults in Spreadsheets (RA, JC, JPF, PM, AP, JS), pp. 111–120.
ICSMEICSME-2014-AbreuCFMPS14a #detection #fault #locality #smell
FaultySheet Detective: When Smells Meet Fault Localization (RA, JC, JPF, PM, AP, JS), pp. 625–628.
ICSMEICSME-2014-Al-KofahiNN #fault #locality
Fault Localization for Make-Based Build Crashes (JMAK, HVN, TNN), pp. 526–530.
ICSMEICSME-2014-WongXZHZM #analysis #fault #locality #segmentation
Boosting Bug-Report-Oriented Fault Localization with Segmentation and Stack-Trace Analysis (CPW, YX, HZ, DH, LZ, HM), pp. 181–190.
ICSMEICSME-2014-XuanM #fault #learning #locality #metric #multi #ranking
Learning to Combine Multiple Ranking Metrics for Fault Localization (JX, MM), pp. 191–200.
SCAMSCAM-2014-OhSSW #fault #locality
Concolic Fault Localization (CO, MS, DSN, TW), pp. 135–144.
STOCSTOC-2014-Solomon #fault tolerance #metric
From hierarchical partitions to hierarchical covers: optimal fault-tolerant spanners for doubling metrics (SS), pp. 363–372.
ICALPICALP-v2-2014-ChalopinDLP #fault tolerance #network
Fault-Tolerant Rendezvous in Networks (JC, YD, AL, AP), pp. 411–422.
SEFMSEFM-2014-BeckerSAB #analysis #constraints #deployment #fault tolerance #formal method
A Formal Model for Constraint-Based Deployment Calculation and Analysis for Fault-Tolerant Systems (KB, BS, MA, CB), pp. 205–219.
SEFMSEFM-2014-HajisheykhiEK #fault #modelling #using
Evaluating the Effect of Faults in SystemC TLM Models Using UPPAAL (RH, AE, SSK), pp. 175–189.
SFMSFM-2014-GmeinerKSVW #algorithm #distributed #fault tolerance #model checking #tutorial
Tutorial on Parameterized Model Checking of Fault-Tolerant Distributed Algorithms (AG, IK, US, HV, JW), pp. 122–171.
AdaEuropeAdaEurope-2014-LopatkinR #development #fault tolerance
Rigorous Development of Fault-Tolerant Systems through Co-refinement (IL, AR), pp. 11–26.
ICPRICPR-2014-PanagiotakisK #automation #detection #fault
Automatic Enhancement and Detection of Active Sea Faults from Bathymetry (CP, EK), pp. 855–860.
SEKESEKE-2014-YangWSFC #analysis #debugging #fault
Bug Inducing Analysis to Prevent Fault Prone Bug Fixes (HY, CW, QS, YF, ZC), pp. 620–625.
RERE-2014-MartinsO #analysis #case study #fault #functional #protocol #requirements #safety #using
A case study using a protocol to derive safety functional requirements from Fault Tree Analysis (LEGM, TdO), pp. 412–419.
SACSAC-2014-GamaD #approach #deployment #fault #self #testing
A fault deployment and activation approach for testing self-recovery mechanisms (KG, DD), pp. 1114–1119.
SACSAC-2014-Guimaraes #architecture #composition #distributed #fault tolerance
A fault-tolerant architecture for decentralized compositions of services in the wild (FPG), pp. 1255–1256.
SACSAC-2014-GuoQS
Locating fault-inducing patterns from structural inputs (HFG, ZQ, HPS), pp. 1100–1107.
SACSAC-2014-PapadakisT #analysis #approach #effectiveness #fault #locality #mutation testing
Effective fault localization via mutation analysis: a selective mutation approach (MP, YLT), pp. 1293–1300.
FSEFSE-2014-JustJIEHF #fault #question #testing
Are mutants a valid substitute for real faults in software testing? (RJ, DJ, LI, MDE, RH, GF), pp. 654–665.
FSEFSE-2014-Song #effectiveness #fault #locality
Estimating the effectiveness of spectrum-based fault localization (SS), pp. 814–816.
FSEFSE-2014-Wu #fault
Diagnose crashing faults on production software (RW), pp. 771–774.
FSEFSE-2014-XuanM #fault #locality #testing
Test case purification for improving fault localization (JX, MM), pp. 52–63.
ICSEICSE-2014-OcarizaPM #fault #javascript #named
Vejovis: suggesting fixes for JavaScript faults (FSOJ, KP, AM), pp. 837–847.
HPCAHPCA-2014-DiTomasoKL #architecture #fault tolerance #named #power management
QORE: A fault tolerant network-on-chip architecture with power-efficient quad-function channel (QFC) buffers (DD, AKK, AL), pp. 320–331.
HPDCHPDC-2014-BestaH #fault tolerance #memory management #modelling #programming
Fault tolerance for remote memory access programming models (MB, TH), pp. 37–48.
ICSTICST-2014-MoonKKY #fault #locality #source code
Ask the Mutants: Mutating Faulty Programs for Fault Localization (SM, YK, MK, SY), pp. 153–162.
ISSTAISSTA-2014-AlshahwanH #detection #fault #testing
Coverage and fault detection of the output-uniqueness test selection criteria (NA, MH), pp. 181–192.
ISSTAISSTA-2014-JustJE #database #fault #java #named #source code #testing
Defects4J: a database of existing faults to enable controlled testing studies for Java programs (RJ, DJ, MDE), pp. 437–440.
ISSTAISSTA-2014-WuZCK #fault #named
CrashLocator: locating crashing faults based on crash stacks (RW, HZ, SCC, SK), pp. 204–214.
CBSECBSE-2013-YusufS #design pattern #fault tolerance
Parameterised architectural patterns for providing cloud service fault tolerance with accurate costings (IIY, HWS), pp. 121–130.
ASEASE-2013-CamposAFd #fault #generative #locality #testing
Entropy-based test generation for improved fault localization (JC, RA, GF, Md), pp. 257–267.
ASEASE-2013-Demasi #fault tolerance #logic #source code #specification
Synthesizing fault-tolerant programs from deontic logic specifications (RD), pp. 750–753.
ASEASE-2013-ScannielloGMM #clustering #fault #predict #using
Class level fault prediction using software clustering (GS, CG, AM, TM), pp. 640–645.
ASEASE-2013-SouzaC #fault #integration #locality
Adding context to fault localization with integration coverage (HAdS, MLC), pp. 628–633.
CASECASE-2013-Fries #agile #automation #fault #fuzzy #multi #using
Automation of rapid fault diagnosis in manufacturing systems using multiple fuzzy agents (TPF), pp. 65–70.
CASECASE-2013-HeZJ #approach #fault #robust
UIO based robust fault diagnosis approach for aero-engine fiber-optic sensor (CH, XZ, BJ), pp. 550–553.
CASECASE-2013-McInroy #fault tolerance #robust
Robustly fault tolerant Gough-Stewart platforms (JEM), pp. 38–44.
CASECASE-2013-SustoSPPMB #fault #maintenance #predict
A predictive maintenance system for integral type faults based on support vector machines: An application to ion implantation (GAS, AS, SP, DP, SFM, AB), pp. 195–200.
CASECASE-2013-YanLS #detection #fault #process #statistics
Fault detection of cooling coils based on unscented Kalman filters and statistical process control (YY, PBL, BS), pp. 237–242.
DACDAC-2013-LiaoHL #detection #fault
GPU-based n-detect transition fault ATPG (KYL, SCH, JCML), p. 8.
DATEDATE-2013-AlieeGRT #analysis #automation #fault #reliability
Automatic success tree-based reliability analysis for the consideration of transient and permanent faults (HA, MG, FR, JT), pp. 1621–1626.
DATEDATE-2013-AmpaduZS #energy #fault tolerance #manycore
Breaking the energy barrier in fault-tolerant caches for multicore systems (PA, MZ, VS), pp. 731–736.
DATEDATE-2013-AnanthanarayananGP #detection #fault #low cost #set #using
Low cost permanent fault detection using ultra-reduced instruction set co-processors (SA, SG, HDP), pp. 933–938.
DATEDATE-2013-BakshiH #reduction #smt #using
LFSR seed computation and reduction using SMT-based fault-chaining (DB, MSH), pp. 1071–1076.
DATEDATE-2013-BanaiyanMofradDG #analysis #distributed #fault tolerance #modelling
Modeling and analysis of fault-tolerant distributed memories for networks-on-chip (AB, ND, GG), pp. 1605–1608.
DATEDATE-2013-BernardiBSRB #embedded #fault #identification #online
On-line functionally untestable fault identification in embedded processor cores (PB, MB, ES, MSR, OB), pp. 1462–1467.
DATEDATE-2013-DasKV13a #communication #design #energy #fault #manycore #migration
Communication and migration energy aware design space exploration for multicore systems with intermittent faults (AD, AK, BV), pp. 1631–1636.
DATEDATE-2013-EbrahimiDP #3d #algorithm #fault tolerance #using
Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy (ME, MD, JP), pp. 1601–1604.
DATEDATE-2013-GaoGB #fault tolerance #scheduling #using
Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors (YG, SKG, MAB), pp. 927–932.
DATEDATE-2013-HuHMCF #detection #fault #realtime
Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips (KH, BNH, AM, KC, RBF), pp. 559–564.
DATEDATE-2013-LeGD #design #fault #locality #scalability
Scalable fault localization for SystemC TLM designs (HML, DG, RD), pp. 35–38.
DATEDATE-2013-MushtaqAB #approach #fault tolerance #manycore #performance
Efficient software-based fault tolerance approach on multicore platforms (HM, ZAA, KB), pp. 921–926.
DATEDATE-2013-Pomeranz #equivalence #fault #graph #on the #set
On candidate fault sets for fault diagnosis and dominance graphs of equivalence classes (IP), pp. 1083–1088.
DATEDATE-2013-RakossyHTSNO #architecture #array #fault #functional #testing
Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array (ZER, MH, HT, TS, YN, HO), pp. 535–540.
DATEDATE-2013-RienerFF #fault tolerance
Improving fault tolerance utilizing hardware-software-co-synthesis (HR, SF, GF), pp. 939–942.
DATEDATE-2013-SarrazinENBG #concurrent #design #detection #fault #performance
Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection (SS, SE, LAdBN, YB, VG), pp. 1077–1082.
DATEDATE-2013-TheissingMSSS #analysis #fault
Comprehensive analysis of software countermeasures against fault attacks (NT, DM, MS, FS, GS), pp. 404–409.
DATEDATE-2013-WachterEAM #fault tolerance
Topology-agnostic fault-tolerant NoC routing method (EW, AE, AMA, FM), pp. 1595–1600.
DATEDATE-2013-WangJSZ #fault tolerance #framework #scheduling
A work-stealing scheduling framework supporting fault tolerance (YW, WJ, FS, QZ), pp. 695–700.
DATEDATE-2013-YilmazSWO #analysis #fault #industrial #scalability #simulation
Fault analysis and simulation of large scale industrial mixed-signal circuits (EY, GS, LW, SO), pp. 565–570.
DATEDATE-2013-ZordanBDGTVB #fault #power management
Test solution for data retention faults in low-power SRAMs (LBZ, AB, LD, PG, AT, AV, NB), pp. 442–447.
SIGMODSIGMOD-2013-AnanthanarayananBDGJQRRSV #data type #fault tolerance #named #scalability
Photon: fault-tolerant and scalable joining of continuous data streams (RA, VB, SD, AG, HJ, TQ, AR, DR, MS, SV), pp. 577–588.
SIGMODSIGMOD-2013-FernandezMKP #fault tolerance #using
Integrating scale out and fault tolerance in stream processing using operator state management (RCF, MM, EK, PP), pp. 725–736.
VLDBVLDB-2013-AkidauBBCHLMMNW #fault tolerance #internet #named
MillWheel: Fault-Tolerant Stream Processing at Internet Scale (TA, AB, KB, SC, JH, RL, SM, DM, PN, SW), pp. 1033–1044.
FASEFASE-2013-HoferRWAG #empirical #evaluation #fault #locality #on the #spreadsheet
On the Empirical Evaluation of Fault Localization Techniques for Spreadsheets (BH, AR, FW, RA, EG), pp. 68–82.
CSMRCSMR-2013-JaafarHGHA #empirical #evolution #on the
On the Relationship between Program Evolution and Fault-Proneness: An Empirical Study (FJ, SH, YGG, SH, BA), pp. 15–24.
ICSMEICSM-2013-Kwon #automation #distributed #energy #execution #fault tolerance #re-engineering
Automated S/W Reengineering for Fault-Tolerant and Energy-Efficient Distributed Execution (YWK), pp. 582–585.
ICSMEICSM-2013-LeL #approach #automation #effectiveness #fault #locality #predict #tool support
Will Fault Localization Work for These Failures? An Automated Approach to Predict Effectiveness of Fault Localization Tools (TDBL, DL), pp. 310–319.
ICSMEICSM-2013-LeTL #fault #locality #theory and practice
Theory and Practice, Do They Match? A Case with Spectrum-Based Fault Localization (TDBL, FT, DL), pp. 380–383.
ICSMEICSM-2013-NguyenNNN13a #fault #locality #web
Database-Aware Fault Localization for Dynamic Web Applications (HVN, HAN, TTN, TNN), pp. 456–459.
ICSMEICSM-2013-QiML #automation #performance #program repair #testing
Efficient Automated Program Repair through Fault-Recorded Testing Prioritization (YQ, XM, YL), pp. 180–189.
ICSMEICSM-2013-ScannielloR #fault #identifier #source code
Dealing with Faults in Source Code: Abbreviated vs. Full-Word Identifier Names (GS, MR), pp. 190–199.
MSRMSR-2013-XieKZ #empirical #migration
An empirical study of the fault-proneness of clone mutation and clone migration (SX, FK, YZ), pp. 149–158.
SCAMSCAM-2013-PapadakisDT #analysis #fault #mutation testing #named #using
Proteum/FL: A tool for localizing faults using mutation analysis (MP, MED, YLT), pp. 94–99.
WCREWCRE-2013-JaafarGHK #anti #dependence #mining
Mining the relationship between anti-patterns dependencies and fault-proneness (FJ, YGG, SH, FK), pp. 351–360.
IFMIFM-2013-DemasiCMA #fault tolerance #simulation
Characterizing Fault-Tolerant Systems by Means of Simulation Relations (RD, PFC, TSEM, NA), pp. 428–442.
SEFMSEFM-2013-KeshishzadehMM #automation #debugging #detection #domain-specific language #fault #smt #using
Early Fault Detection in DSLs Using SMT Solving and Automated Debugging (SK, AJM, MRM), pp. 182–196.
VISSOFTVISSOFT-2013-GouveiaCA #fault #html #locality #using #visualisation
Using HTML5 visualizations in software fault localization (CG, JC, RA), pp. 1–10.
ICEISICEIS-J-2013-LiL13a #object-oriented #predict
Bayesian Prediction of Fault-Proneness of Agile-Developed Object-Oriented System (LL, HL), pp. 209–225.
ICEISICEIS-v2-2013-LiL #agile #network #object-oriented #predict #process #using
Predicting Fault-proneness of Object-Oriented System Developed with Agile Process using Learned Bayesian Network (LL, HL), pp. 5–16.
SEKESEKE-2013-LiLY #fault #locality #testing
Exploiting Weights of Test Cases to Enhance Fault Localization (S) (YL, CL, ZY), pp. 589–593.
MODELSMoDELS-2013-PretschnerHEG #assurance #fault #quality
A Generic Fault Model for Quality Assurance (AP, DH, RE, MG), pp. 87–103.
MODELSMoDELS-2013-PretschnerHEG #assurance #fault #quality
A Generic Fault Model for Quality Assurance (AP, DH, RE, MG), pp. 87–103.
OOPSLAOOPSLA-2013-Zhang0K #developer #evolution #fault #injection #locality
Injecting mechanical faults to localize developer faults for evolving software (LZ, LZ, SK), pp. 765–784.
POPLPOPL-2013-RamalingamV #fault tolerance
Fault tolerance via idempotence (GR, KV), pp. 249–262.
SACSAC-2013-MartinsLSN #fault tolerance #framework #middleware #realtime
Stheno, a real-time fault-tolerant P2P middleware platform for light-train systems (RM, LMBL, FMAS, PN), pp. 431–438.
ESEC-FSEESEC-FSE-2013-DiGiuseppe #automation #fault
Automatically describing software faults (ND), pp. 711–714.
ESEC-FSEESEC-FSE-2013-InozemtsevaHH #fault #reduction #using
Using fault history to improve mutation reduction (LI, HH, RH), pp. 639–642.
ESEC-FSEESEC-FSE-2013-PetkeYCH #combinator #detection #fault #interactive #performance #testing
Efficiency and early fault detection with lower and higher strength combinatorial interaction testing (JP, SY, MBC, MH), pp. 26–36.
ESEC-FSEESEC-FSE-2013-VasquezBBPOP #android #api #fault
API change and fault proneness: a threat to the success of Android apps (MLV, GB, CBC, MDP, RO, DP), pp. 477–487.
ICSEICSE-2013-Park #comprehension #concurrent #fault #source code
Fault comprehension for concurrent programs (SP), pp. 1444–1446.
ASPLOSASPLOS-2013-KadavRS #fault tolerance #fine-grained #using
Fine-grained fault tolerance using device checkpoints (AK, MJR, MMS), pp. 473–484.
ASPLOSASPLOS-2013-SahooCGA #automation #fault #invariant #locality #using
Using likely invariants for automated software fault localization (SKS, JC, CG, VSA), pp. 139–152.
CGOCGO-2013-LiHCZ0L #debugging #effectiveness #fault #locality #set
Effective fault localization based on minimum debugging frontier set (FL, WH, CC, LZ, XF, ZL), p. 10.
CGOCGO-2013-TanFG #detection #fault #lightweight #source code
Lightweight fault detection in parallelized programs (LT, MF, RG), p. 11.
LCTESLCTES-2013-ChenY #comparison #detection #fault #performance
Boosting efficiency of fault detection and recovery throughapplication-specific comparison and checkpointing (HC, CY), pp. 13–20.
PPoPPPPoPP-2013-Chen #algorithm #detection #fault #fault tolerance #named #online
Online-ABFT: an online algorithm based fault tolerance scheme for soft error detection in iterative methods (ZC), pp. 167–176.
PPoPPPPoPP-2013-DiouriGLC #energy #fault tolerance #protocol #towards
Towards an energy estimator for fault tolerance protocols (MeMD, OG, LL, FC), pp. 313–314.
PPoPPPPoPP-2013-LifflanderMK #detection #fault tolerance #protocol #termination
Adoption protocols for fanout-optimal fault-tolerant termination detection (JL, PM, LVK), pp. 13–22.
SOSPSOSP-2013-ZahariaDLHSS #fault tolerance #scalability #streaming
Discretized streams: fault-tolerant streaming computation at scale (MZ, TD, HL, TH, SS, IS), pp. 423–438.
ICSTICST-2013-Buchler #security #testing
Security Testing with Fault-Models and Properties (MB), pp. 501–502.
ICSTICST-2013-Roper #fault #testing
Estimating Fault Numbers Remaining After Testing (MR), pp. 272–281.
ICSTICST-2013-ShuSPC #fault #locality #named
MFL: Method-Level Fault Localization with Causal Inference (GS, BS, AP, FC), pp. 124–133.
ICTSSICTSS-2013-KocaSA #concurrent #fault #locality
Spectrum-Based Fault Localization for Diagnosing Concurrency Faults (FK, HS, RA), pp. 239–254.
ISSTAISSTA-2013-JinO #fault #locality #named
F3: fault localization for field failures (WJ, AO), pp. 213–223.
ISSTAISSTA-2013-QiMLW #automation #effectiveness #fault #locality #program repair #using
Using automated program repair for evaluating the effectiveness of fault localization techniques (YQ, XM, YL, CW), pp. 191–201.
ISSTAISSTA-2013-SteimannFA #empirical #fault
Threats to the validity and value of empirical assessments of the accuracy of coverage-based fault locators (FS, MF, RA), pp. 314–324.
VMCAIVMCAI-2013-ChristESW #fault #locality
Flow-Sensitive Fault Localization (JC, EE, MS, TW), pp. 189–208.
ASEASE-2012-GongLJZ #fault #locality
Diversity maximization speedup for fault localization (LG, DL, LJ, HZ), pp. 30–39.
ASEASE-2012-GopinathZK #effectiveness #fault #locality #specification #using
Improving the effectiveness of spectra-based fault localization using specifications (DG, RNZ, SK), pp. 40–49.
ASEASE-2012-YuLCZ #debugging #fault
Practical isolation of failure-inducing changes for debugging regression faults (KY, ML, JC, XZ), pp. 20–29.
CASECASE-2012-Chang #detection #fault #feature model #process #using
Fault detection for plasma-enhanced chemical vapor deposition process using feature extraction (YJC), pp. 491–496.
CASECASE-2012-LiuJCLX #analysis #canonical #correlation #fault #identification #linear #research
Research on fault identification for complex system based on generalized linear canonical correlation analysis (DL, DJ, XC, AL, GX), pp. 474–478.
CASECASE-2012-YamaguchiIS #data-driven #database #fault #network
Data based construction of Bayesian Network for fault diagnosis of event-driven systems (TY, SI, TS), pp. 508–514.
CASECASE-2012-YaqubGK #fault #multi
Impact characterization of multiple-points-defect on machine fault diagnosis (MFY, IG, JK), pp. 479–484.
CASECASE-2012-ZhangWZX #fault #memory management #using
A dynamic memory model for mechanical fault diagnosis using one-class support vector machine (QZ, JW, JZ, GX), pp. 497–501.
DACDAC-2012-HuangHRBK #detection #embedded #fault tolerance #towards
Towards fault-tolerant embedded systems with imperfect fault detection (JH, KH, AR, CB, AK), pp. 188–196.
DATEDATE-2012-BolchiniMS #adaptation #approach #architecture #fault #manycore #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-BombieriFG #fault #framework #functional #named #simulation #verification
FAST-GP: An RTL functional verification framework based on fault simulation on GP-GPUs (NB, FF, VG), pp. 562–565.
DATEDATE-2012-CampagnaV #architecture #detection #fault #hybrid #validation
An hybrid architecture to detect transient faults in microprocessors: An experimental validation (SC, MV), pp. 1433–1438.
DATEDATE-2012-KarimiCGP #fault #generative #testing
Test generation for clock-domain crossing faults in integrated circuits (NK, KC, PG, SP), pp. 406–411.
DATEDATE-2012-MohammadiEEM #fault #injection #named
SCFIT: A FPGA-based fault injection technique for SEU fault model (AM, ME, AE, SGM), pp. 586–589.
DATEDATE-2012-RajendranPSK #analysis #encryption #fault #logic #perspective
Logic encryption: A fault analysis perspective (JR, YP, OS, RK), pp. 953–958.
DATEDATE-2012-ZhaCL #fault #memory management #modelling #testing
Modeling and testing of interference faults in the nano NAND Flash memory (JZ, XC, CLL), pp. 527–531.
SIGMODSIGMOD-2012-ShuteOEHRSVWCJLT #distributed #fault tolerance #named
F1: the fault-tolerant distributed RDBMS supporting google’s ad business (JS, MO, SE, BH, ER, BS, RV, CW, XC, BJ, KL, PT), pp. 777–778.
ICSMEICSM-2012-GongLJZ #fault #feedback #interactive #locality
Interactive fault localization leveraging simple user feedback (LG, DL, LJ, HZ), pp. 67–76.
MSRMSR-2012-LuciaTLJ #fault #question
Are faults localizable? (L, FT, DL, LJ), pp. 74–77.
WCREWCRE-2012-AbebeATAG #fault #predict #question #smell
Can Lexicon Bad Smells Improve Fault Prediction? (SLA, VA, PT, GA, YGG), pp. 235–244.
ICALPICALP-v1-2012-ChanLN #bound #fault tolerance #metric
Sparse Fault-Tolerant Spanners for Doubling Metrics with Bounded Hop-Diameter or Degree (THHC, ML, LN), pp. 182–193.
ICALPICALP-v2-2012-ChandranGO #fault tolerance #network
Edge Fault Tolerance on Sparse Networks (NC, JAG, RO), pp. 452–463.
IFMIFM-2012-HoomanMW #abstraction #detection #fault #industrial #modelling #using
Early Fault Detection in Industry Using Models at Various Abstraction Levels (JH, AJM, HvW), pp. 268–282.
ICEISICEIS-J-2012-YiasemisA12a #execution #fault #mutation testing #slicing #testing
Locating and Correcting Software Faults in Executable Code Slices via Evolutionary Mutation Testing (PSY, ASA), pp. 207–227.
ICEISICEIS-v2-2012-RychlyZ #fault #injection
Fault Injection for Web-services (MR, MZ), pp. 377–383.
ICEISICEIS-v2-2012-YiasemisA #automation #fault #locality #mutation testing #testing #using
Dynamic Localisation and Automatic Correction of Software Faults using Evolutionary Mutation Testing (PSY, ASA), pp. 15–26.
ICPRICPR-2012-LiWBL #adaptation #algorithm #fault
Semi-supervised adaptive parzen Gentleboost algorithm for fault diagnosis (CL, ZW, SB, ZL), pp. 2290–2293.
SEKESEKE-2012-DagninoSR #fault #machine learning #using
Forecasting Fault Events in Power Distribution Grids Using Machine Learning (AD, KS, LR), pp. 458–463.
SEKESEKE-2012-FitchX #fault tolerance #petri net
A Petri Net Model for Secure and Fault-Tolerant Cloud-Based Information Storage (DFF, HX), pp. 333–339.
SEKESEKE-2012-MiaoCLZZ #clustering #correctness #fault #identification #locality #testing
Identifying Coincidental Correctness for Fault Localization by Clustering Test Cases (YM, ZC, SL, ZZ, YZ), pp. 267–272.
MODELSMoDELS-2012-Wu0SZ #component #fault tolerance #modelling
Model Driven Configuration of Fault Tolerance Solutions for Component-Based Software System (YW, GH, HS, YZ), pp. 514–530.
MODELSMoDELS-2012-Wu0SZ #component #fault tolerance #modelling
Model Driven Configuration of Fault Tolerance Solutions for Component-Based Software System (YW, GH, HS, YZ), pp. 514–530.
SACSAC-2012-BanthiaG #fault #modelling #predict #quality
Investigating fault prediction capabilities of five prediction models for software quality (DB, AG), pp. 1259–1261.
SACSAC-2012-DestefanisTCM #analysis #anti #java #scalability
An analysis of anti-micro-patterns effects on fault-proneness in large Java systems (GD, RT, GC, MM), pp. 1251–1253.
SACSAC-2012-FouqueLV #fault #using
Using faults for buffer overflow effects (PAF, DL, FV), pp. 1638–1639.
SACSAC-2012-NathML #classification #fault #on the #testing
On the improvement of a fault classification scheme with implications for white-box testing (SKN, RM, MFL), pp. 1123–1130.
SACSAC-2012-ReisM #fault tolerance #named
FTRMI: fault-tolerant transparent RMI (DR, HMM), pp. 511–518.
SACSAC-2012-SarroMFG #algorithm #analysis #fault #predict #search-based
A further analysis on the use of Genetic Algorithm to configure Support Vector Machines for inter-release fault prediction (FS, SDM, FF, CG), pp. 1215–1220.
SACSAC-2012-TeymooriKY #fault tolerance #network #realtime
A real-time data aggregation method for fault-tolerant wireless sensor networks (PT, MK, NY), pp. 605–612.
SACSAC-2012-WangCCX #fault
Fault class prioritization in Boolean expressions (ZW, ZC, TYC, BX), pp. 1191–1196.
FSEFSE-2012-DiGiuseppeJ #automation #fault #semantics
Semantic fault diagnosis: automatic natural-language fault descriptions (ND, JAJ), p. 23.
FSEFSE-2012-ZhangKK #evolution #fault #impact analysis #java #named #source code
FaultTracer: a change impact and regression fault analysis tool for evolving Java programs (LZ, MK, SK), p. 40.
ICSEICSE-2012-ServantJ #automation #developer #fault #locality #named
WhoseFault: Automatic developer-to-fault assignment through fault localization (FS, JAJ), pp. 36–46.
ICSEICSE-2012-StaatsGH #automation #fault #how #mutation testing #testing
Automated oracle creation support, or: How I learned to stop worrying about fault propagation and love mutation testing (MS, GG, MPEH), pp. 870–880.
ICSEICSE-2012-Wen #fault #locality #slicing
Software fault localization based on program slicing spectrum (WW), pp. 1511–1514.
ASPLOSASPLOS-2012-HariANR #equivalence #fault #named
Relyzer: exploiting application-level fault equivalence to analyze application resiliency to transient faults (SKSH, SVA, HN, PR), pp. 123–134.
CGOCGO-2012-ZhangGHLMA #fault tolerance #runtime
Runtime asynchronous fault tolerance via speculation (YZ, SG, JH, JWL, SAM, DIA), pp. 145–154.
HPDCHPDC-2012-KutluAK #algorithm #data-driven #fault tolerance #parallel
Fault tolerant parallel data-intensive algorithms (MK, GA, OK), pp. 133–134.
PPoPPPPoPP-2012-DuBBHD #fault tolerance #matrix
Algorithm-based fault tolerance for dense matrix factorizations (PD, AB, GB, TH, JD), pp. 225–234.
ICSTICST-2012-Bandyopadhyay #correctness #fault #locality
Mitigating the Effect of Coincidental Correctness in Spectrum Based Fault Localization (AB), pp. 479–482.
ICSTICST-2012-BandyopadhyayG #fault #feedback #locality
Tester Feedback Driven Fault Localization (AB, SG), pp. 41–50.
ICSTICST-2012-DevineGKLL #empirical #fault #industrial #product line
An Empirical Study of Pre-release Software Faults in an Industrial Product Line (TRD, KGP, SK, RRL, JJL), pp. 181–190.
ICSTICST-2012-DiGiuseppeJ #behaviour #clustering #empirical #fault
Software Behavior and Failure Clustering: An Empirical Study of Fault Causality (ND, JAJ), pp. 191–200.
ICSTICST-2012-MasriAZF #fault #locality #multi #visualisation
Enhancing Fault Localization via Multivariate Visualization (WM, RAA, FAZ, NF), pp. 737–741.
ICSTICST-2012-OcarizaPM #automation #fault #javascript #named
AutoFLox: An Automatic Fault Localizer for Client-Side JavaScript (FSOJ, KP, AM), pp. 31–40.
ICSTICST-2012-Oriol #evaluation #fault #random testing #testing
Random Testing: Evaluation of a Law Describing the Number of Faults Found (MO), pp. 201–210.
ICSTICST-2012-PapadakisT #fault #using
Using Mutants to Locate “Unknown” Faults (MP, YLT), pp. 691–700.
ICSTICST-2012-Wotawa #challenge #constraints #fault #open science #research #source code #using
Open Research Challenges of Localizing Faults in Programs Using Constraints (FW), pp. 770–771.
ICSTICST-2012-YuL #debugging #fault #towards
Towards Practical Debugging for Regression Faults (KY, ML), pp. 487–490.
ISSTAISSTA-2012-AlshahwanH #detection #fault #testing #web
State aware test case regeneration for improving web application test suite coverage and fault detection (NA, MH), pp. 45–55.
ECSAECSA-2011-CasanovaSGA #architecture #fault #runtime
Architecture-Based Run-Time Fault Diagnosis (PC, BRS, DG, RA), pp. 261–277.
QoSAQoSA-ISARCS-2011-BroschBKR #architecture #fault tolerance #predict #reliability
Reliability prediction for fault-tolerant software architectures (FB, BB, HK, RHR), pp. 75–84.
QoSAQoSA-ISARCS-2011-YusufSP #architecture #fault tolerance #grid
Architecture-based fault tolerance support for grid applications (IIY, HWS, IDP), pp. 177–182.
ASEASE-2011-AlvesGJd #impact analysis #slicing #using
Fault-localization using dynamic slicing and change impact analysis (EA, MG, VJ, Md), pp. 520–523.
ASEASE-2011-Bandyopadhyay #fault #locality #testing #using
Improving spectrum-based fault localization using proximity-based weighting of test cases (AB), pp. 660–664.
ASEASE-2011-BandyopadhyayG #fault #locality #proximity #testing
Proximity based weighting of test cases to improve spectrum based fault localization (AB, SG), pp. 420–423.
ASEASE-2011-ClarkCKJH #database #fault #sql
Localizing SQL faults in database applications (SRC, JC, GMK, JAJ, MJH), pp. 213–222.
ASEASE-2011-DengJ #dependence #fault
Inferred dependence coverage to support fault contextualization (FD, JAJ), pp. 512–515.
ASEASE-2011-Gonzalez-SanchezAGG #ambiguity #fault #locality #reduction #testing
Prioritizing tests for fault localization through ambiguity group reduction (AGS, RA, HGG, AJCvG), pp. 83–92.
ASEASE-2011-WangLJLL #fault #locality #search-based
Search-based fault localization (SW, DL, LJ, L, HCL), pp. 556–559.
CASECASE-2011-CabasinoGSSZ #fault #petri net #using
Fault diagnosis of an ABS system using Petri nets (MPC, AG, CS, AS, KZ), pp. 594–599.
CASECASE-2011-SenoussiCDZ #detection #fault #feature model #process
Feature selection for fault detection systems: Application to the Tennessee Eastman Process (HS, BCM, MD, NZ), pp. 189–194.
CASECASE-2011-SunLOS #detection #energy #fault
Building energy doctors: SPC and Kalman filter-based fault detection (BS, PBL, ZO, FS), pp. 333–340.
CASECASE-2011-WanY #detection #fault
Fault detection of networked control systems utilizing limited possibilities of unknown packet transmission (YW, HY), pp. 619–624.
DACDAC-2011-AisoposCP #fault #modelling
Enabling system-level modeling of variation-induced faults in networks-on-chips (KA, CHOC, LSP), pp. 930–935.
DACDAC-2011-ChenO #fault #statistics
Diagnosing scan clock delay faults through statistical timing pruning (MC, AO), pp. 423–428.
DACDAC-2011-LungSHSC #3d #fault tolerance #network
Fault-tolerant 3D clock network (CLL, YSS, SHH, YS, SCC), pp. 645–651.
DACDAC-2011-Pomeranz #clustering #fault
Diagnosis of transition fault clusters (IP), pp. 429–434.
DACDAC-2011-TsaiZCH #bidirectional #fault tolerance #using
A fault-tolerant NoC scheme using bidirectional channel (WCT, DYZ, SJC, YHH), pp. 918–923.
DATEDATE-2011-BernardiGSB #fault #self #testing
Fault grading of software-based self-test procedures for dependable automotive applications (PB, MG, ES, OB), pp. 513–514.
DATEDATE-2011-ChaixAZN #adaptation #concurrent #fault tolerance
A fault-tolerant deadlock-free adaptive routing for on chip interconnects (FC, DA, NEZ, MN), pp. 909–912.
DATEDATE-2011-ChenO #analysis #fault #image #statistics
Diagnosing scan chain timing faults through statistical feature analysis of scan images (MC, AO), pp. 185–190.
DATEDATE-2011-ChouM #multi #named #resource management
FARM: Fault-aware resource management in NoC-based multiprocessor platforms (CLC, RM), pp. 673–678.
DATEDATE-2011-HaronH #fault tolerance #hybrid #low cost
Cost-efficient fault-tolerant decoder for hybrid nanoelectronic memories (NZH, SH), pp. 265–268.
DATEDATE-2011-KaraklajicFSV #detection #fault #low cost #using
Low-cost fault detection method for ECC using Montgomery powering ladder (DK, JF, JMS, IV), pp. 1016–1021.
DATEDATE-2011-KlobedanzK0 #approach #configuration management #fault tolerance #network
A reconfiguration approach for fault-tolerant FlexRay networks (KK, AK, WM), pp. 82–87.
DATEDATE-2011-KochteW #evaluation #fault #satisfiability
SAT-based fault coverage evaluation in the presence of unknown values (MAK, HJW), pp. 1303–1308.
DATEDATE-2011-MedwedM #detection #fault #logic
Arithmetic logic units with high error detection rates to counteract fault attacks (MM, SM), pp. 1644–1649.
DATEDATE-2011-OnizawaMH #communication #monitoring
Interconnect-fault-resilient delay-insensitive asynchronous communication link based on current-flow monitoring (NO, AM, TH), pp. 776–781.
DATEDATE-2011-YeHL #fault #multi #on the #using
On diagnosis of multiple faults using compacted responses (JY, YH, XL), pp. 679–684.
SIGMODSIGMOD-2011-UpadhyayaKB #fault tolerance #latency #online #parallel #query
A latency and fault-tolerance optimizer for online parallel query plans (PU, YK, MB), pp. 241–252.
ICPCICPC-2011-BurrowsTGF #aspect-oriented #evaluation #fault #reasoning #source code
Reasoning about Faults in Aspect-Oriented Programs: A Metrics-Based Evaluation (RB, FT, AG, FCF), pp. 131–140.
ICPCICPC-2011-WangSW #automation #fault
Capturing Expert Knowledge for Automated Configuration Fault Diagnosis (MW, XS, KW), pp. 205–208.
ICSMEICSM-2011-DiGiuseppeJ #fault #interactive
Fault interaction and its repercussions (ND, JAJ), pp. 3–12.
ICSMEICSM-2011-KobayashiMIHKY #fault #impact analysis #named #predict #scalability
ImpactScale: Quantifying change impact to predict faults in large software systems (KK, AM, KI, YH, MK, TY), pp. 43–52.
ICSMEICSM-2011-KuhnK #combinator #detection #fault #testing
Practical combinatorial (t-way) methods for detecting complex faults in regression testing (RK, RK), p. 599.
DLTDLT-J-2010-YuanCL11 #fault #graph
Linearly Many Faults in (n, k)-Star Graphs (AY, EC, LL), pp. 1729–1745.
ICALPICALP-v2-2011-Chechik #fault tolerance #graph
Fault-Tolerant Compact Routing Schemes for General Graphs (SC), pp. 101–112.
SEFMSEFM-2011-CastroKAA #branch #fault tolerance #logic #named #verification
dCTL: A Branching Time Temporal Logic for Fault-Tolerant System Verification (PFC, CK, AA, NA), pp. 106–121.
CAiSECAiSE-2011-MedjahedM #bottom-up #fault #web #web service
Bottom-Up Fault Management in Composite Web Services (BM, ZM), pp. 597–611.
ICEISICEIS-v1-2011-RamirezC #fault #process #using
Fault Diagnosis of Batch Processes Release using PCA Contribution Plots as Fault Signatures (AWR, JCL), pp. 223–228.
SEKESEKE-2011-CellierDFR #data mining #fault #locality #mining #multi
Multiple Fault Localization with Data Mining (PC, MD, SF, OR), pp. 238–243.
SEKESEKE-2011-WenLSL #fault #locality #slicing
Program slicing spectrum-based software fault localization (WW, BL, XS, JL), pp. 213–218.
SEKESEKE-2011-ZhaoZWY #fault #locality #named #reduction
PAFL: Fault Localization via Noise Reduction on Coverage Vector (LZ, ZZ, LW, XY), pp. 203–206.
SACSAC-2011-AhnAHS #component #fault tolerance #framework
Fault tolerant framework and techniques for component-based autonomous robot systems (HA, SCA, JH, SYS), pp. 566–572.
SACSAC-2011-BabichCPK #abstraction #case study #eclipse #fault #object-oriented #predict #using
Using a class abstraction technique to predict faults in OO classes: a case study through six releases of the Eclipse JDT (DB, PJC, JFP, BMGK), pp. 1419–1424.
SACSAC-2011-DebroyW #equivalence #fault #locality #on the
On the equivalence of certain fault localization techniques (VD, WEW), pp. 1457–1463.
SACSAC-2011-Gonzalez-SanchezAGG #empirical #fault #locality #testing
An empirical study on the usage of testability information to fault localization in software (AGS, RA, HGG, AJCvG), pp. 1398–1403.
SACSAC-2011-ManyD #analysis #evaluation #fault tolerance #scheduling
Fault tolerance evaluation and schedulability analysis (FM, DD), pp. 729–734.
SACSAC-2011-YuLGZZ #fault #modelling #multi #using
Locating faults using multiple spectra-specific models (KY, ML, QG, HZ, XZ), pp. 1404–1410.
ESEC-FSEESEC-FSE-2011-BaahPH #dependence #effectiveness #fault #locality
Mitigating the confounding effects of program dependences for effective fault localization (GKB, AP, MJH), pp. 146–156.
ESEC-FSEESEC-FSE-2011-SahaNDNSC #fault #locality #source code
Fault localization for data-centric programs (DS, MGN, PD, VKN, VS, SC), pp. 157–167.
ICSEICSE-2011-Kidwell #classification #fault #research
A decision support system for the classification of software coding faults: a research abstract (BK), pp. 1158–1160.
ICSEICSE-2011-MurtazaMGL #fault #using
Diagnosing new faults using mutants and prior faults (SSM, NHM, MG, ZL), pp. 960–963.
ICSEICSE-2011-WinterSSM #fault #modelling #robust
The impact of fault models on software robustness evaluations (SW, CS, NS, BM), pp. 51–60.
ASPLOSASPLOS-2011-PalixTSCLM #fault #linux #years after
Faults in linux: ten years later (NP, GT, SS, CC, JLL, GM), pp. 305–318.
SOSPSOSP-2011-MaoCZWZK #api #fault #multi
Software fault isolation with API integrity and multi-principal modules (YM, HC, DZ, XW, NZ, MFK), pp. 115–128.
CAVCAV-2011-JoseM #fault #locality #named #source code
Bug-Assist: Assisting Fault Localization in ANSI-C Programs (MJ, RM), pp. 504–509.
ISSTAISSTA-2011-DiGiuseppeJ #fault #locality #multi #on the
On the influence of multiple faults on coverage-based fault localization (ND, JAJ), pp. 210–220.
ISSTAISSTA-2011-LeS #analysis #detection #fault #generative
Generating analyses for detecting faults in path segments (WL, MLS), pp. 320–330.
VMCAIVMCAI-2011-ChengRKB #embedded #fault tolerance #game studies #synthesis #theory and practice #using
Synthesis of Fault-Tolerant Embedded Systems Using Games: From Theory to Practice (CHC, HR, AK, CB), pp. 118–133.
ASEASE-2010-ManiSDS #automation #fault
Automated support for repairing input-model faults (SM, VSS, PD, SS), pp. 195–204.
CASECASE-2010-AllenT #consistency #detection #fault #industrial #nondeterminism
Event-based fault detection of manufacturing cell: Data inconsistencies between academic assumptions and industry practice (LVA, DMT), pp. 426–432.
CASECASE-2010-CabasinoGS #fault #petri net #using
Diagnosis using labeled Petri nets: Faults may either be silent or undistinguishable events (MPC, AG, CS), pp. 485–490.
CASECASE-2010-RoutrayRS #clustering #detection #fault #reduction
Data reduction and clustering techniques for fault detection and diagnosis in automotives (AR, AR, SS), pp. 326–331.
DACDAC-2010-KochteSWZ #fault #manycore #performance #simulation
Efficient fault simulation on many-core processors (MAK, MS, HJW, CGZ), pp. 380–385.
DACDAC-2010-ThomptoH #fault tolerance #verification
Verification for fault tolerance of the IBM system z microprocessor (BWT, BH), pp. 525–530.
DATEDATE-2010-BorodinJ #detection #fault
Instruction precomputation with memoization for fault detection (DB, BHHJ), pp. 1665–1668.
DATEDATE-2010-GupteJ #evaluation #fault #slicing
An evaluation of a slice fault aware tool chain (AG, PHJ), pp. 1803–1808.
DATEDATE-2010-HuangSM #fault #machine learning
Fault diagnosis of analog circuits based on machine learning (KH, HGDS, SM), pp. 1761–1766.
DATEDATE-2010-LiuLW #energy #fault tolerance #performance #realtime #scheduling
Scheduling for energy efficiency and fault tolerance in hard real-time systems (YL, HL, KW), pp. 1444–1449.
DATEDATE-2010-LuckenbillLHMH #algorithm #analysis #fault #logic #named #reliability
RALF: Reliability Analysis for Logic Faults — An exact algorithm and its applications (SBL, JYL, YH, RM, LH), pp. 783–788.
DATEDATE-2010-PanHL #fault #named
IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults (SP, YH, XL), pp. 238–243.
DATEDATE-2010-PellegriniBA #authentication
Fault-based attack of RSA authentication (AP, VB, TMA), pp. 855–860.
DATEDATE-2010-Scholzel #detection #fault #performance
HW/SW co-detection of transient and permanent faults with fast recovery in statically scheduled data paths (MS), pp. 723–728.
DATEDATE-2010-SubramanyanSSL #execution #fault tolerance #multi #performance
Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors (PS, VS, KKS, EL), pp. 1572–1577.
DATEDATE-2010-UbarDRJ #parallel #simulation
Parallel X-fault simulation with critical path tracing technique (RU, SD, JR, AJ), pp. 879–884.
DATEDATE-2010-YeHL #fault #multi
Diagnosis of multiple arbitrary faults with mask and reinforcement effect (JY, YH, XL), pp. 885–890.
ESOPESOP-2010-MeolaW #fault tolerance #logic #reasoning #source code
Faulty Logic: Reasoning about Fault Tolerant Programs (MLM, DW), pp. 468–487.
ICSMEICSM-2010-ArnaoudovaEOGA #concept #fault #identifier #metric #physics
Physical and conceptual identifier dispersion: Measures and relation to fault proneness (VA, LME, RO, YGG, GA), pp. 1–5.
ICSMEICSM-2010-FryW #fault #locality
A human study of fault localization accuracy (ZPF, WW), pp. 1–10.
ICSMEICSM-2010-LuciaLJB #evaluation #fault #locality #metric
Comprehensive evaluation of association measures for fault localization (L, DL, LJ, AB), pp. 1–10.
MSRMSR-2010-NugrohoCA #design #java #metric #predict #uml
Assessing UML design metrics for predicting fault-prone classes in a Java system (AN, MRVC, EA), pp. 21–30.
PASTEPASTE-2010-FengG #fault #learning #locality #modelling #probability
Learning universal probabilistic models for fault localization (MF, RG), pp. 81–88.
PASTEPASTE-2010-Schmeelk #benchmark #detection #metric #towards
Towards a unified fault-detection benchmark (SS), pp. 61–64.
ICALPICALP-v2-2010-ChandranGO #fault tolerance #network
Improved Fault Tolerance and Secure Computation on Sparse Networks (NC, JAG, RO), pp. 249–260.
SOFTVISSOFTVIS-2010-BottgerBE #fault #visualisation
Fault forest visualization (SB, HB, AE), pp. 219–220.
AdaSIGAda-2010-LiYBWZL #ada #fault tolerance #manycore #monitoring
Extending Ada to support multi-core based monitoring and fault tolerance (YL, LY, LB, LW, JZ, XL), pp. 53–62.
ICEISICEIS-AIDSS-2010-SilvaCRN #assessment #fault #identification #network
Assessment of the Change in the Number of Neurons in Hidden Layers of Neural Networks for Fault Identification in Electrical Systems (DTdS, PHGC, JAPR, LBN), pp. 309–313.
SEKESEKE-2010-XuDWG #evaluation #fault #locality
An Evaluation of Tie-Breaking Strategies for Fault Localization Techniques (XX, VD, WEW, DG), pp. 123–128.
SACSAC-2010-SchutzC #approach #fault tolerance #hybrid #multi #network #optimisation
A multi-objective optimization approach for fault-tolerance provisioning in multi-radio hybrid wireless-optical broadband access networks (GS, NSCC), pp. 635–640.
SACSAC-2010-YiKMKS #adaptation #fault tolerance #named #reliability
ART: adaptive, reliable, and fault-tolerant task management for computational grids (SY, JYK, HM, BK, COS), pp. 238–239.
SACSAC-2010-ZhangGCQC #case study #effectiveness #reduction #testing
A study of relative redundancy in test-suite reduction while retaining or improving fault-localization effectiveness (XZ, QG, XC, JQ, DC), pp. 2229–2236.
FSEFSE-2010-LeS #correlation #fault
Path-based fault correlations (WL, MLS), pp. 307–316.
ICSEICSE-2010-ArtziDTP #fault #locality #web
Practical fault localization for dynamic web applications (SA, JD, FT, MP), pp. 265–274.
ICSEICSE-2010-Cruz #case study #fault #metric #predict #uml
Exploratory study of a UML metric for fault prediction (AECC), pp. 361–364.
ICSEICSE-2010-FerrariBLGFCLTSSRMBM #aspect-oriented #case study #evolution #source code
An exploratory study of fault-proneness in evolving aspect-oriented programs (FCF, RB, OALL, AG, EF, NC, FL, NT, LS, SS, AR, PCM, TVB, JCM), pp. 65–74.
ICSEICSE-2010-LewisW #fault #monitoring #runtime #using
Runtime repair of software faults using event-driven monitoring (CL, JW), pp. 275–280.
ICSEICSE-2010-ParkVH #concurrent #fault #locality #named #source code
Falcon: fault localization in concurrent programs (SP, RWV, MJH), pp. 245–254.
ICSTICST-2010-BelliLBS #detection #fault #sequence #testing
Fault Detection Likelihood of Test Sequence Length (FB, ML, CJB, HAS), pp. 402–411.
ICSTICST-2010-MasriA #correctness #testing
Cleansing Test Suites from Coincidental Correctness to Enhance Fault-Localization (WM, RAA), pp. 165–174.
ICSTICST-2010-SyedRW #fault #hardware #question
Does Hardware Configuration and Processor Load Impact Software Fault Observability? (RAS, BR, LAW), pp. 285–294.
ISSTAISSTA-2010-ArtziDTP #effectiveness #fault #generative #locality #testing
Directed test generation for effective fault localization (SA, JD, FT, MP), pp. 49–60.
ISSTAISSTA-2010-BaahPH #fault #locality #statistics
Causal inference for statistical fault localization (GKB, AP, MJH), pp. 73–84.
ISSTAISSTA-2010-DobolyiW #fault #modelling #testing #web
Modeling consumer-perceived web application fault severities for testing (KD, WW), pp. 97–106.
ISSTAISSTA-2010-OstrandW #fault #predict
Software fault prediction tool (TJO, EJW), pp. 275–278.
CBSECBSE-2009-LiCHMC #component #fault tolerance #model checking
Selecting Fault Tolerant Styles for Third-Party Components with Model Checking Support (JL, XC, GH, HM, FC), pp. 69–86.
WICSA-ECSAWICSA-ECSA-2009-BritoRL #architecture #fault tolerance #verification
Verifying architectural variabilities in software fault tolerance techniques (PHSB, CMFR, RdL), pp. 231–240.
ASEASE-2009-AbreuZG #fault #locality #multi
Spectrum-Based Multiple Fault Localization (RA, PZ, AJCvG), pp. 88–99.
ASEASE-2009-AliADW #fault #locality
Evaluating the Accuracy of Fault Localization Techniques (SA, JHA, TD, WW), pp. 76–87.
ASEASE-2009-DeanPMW #approach #automation #fault #linear #locality #multi #programming
A Linear Programming Approach for Automated Localization of Multiple Faults (BCD, WBP, BAM, AAW), pp. 640–644.
ASEASE-2009-JanssenAG #automation #fault #locality #named #tool support
Zoltar: A Toolset for Automatic Fault Localization (TJ, RA, AJCvG), pp. 662–664.
ASEASE-2009-MunkbyS #fault tolerance #predict #type inference
Type Inference for Soft-Error Fault-Tolerance Prediction (GM, SS), pp. 65–75.
ASEASE-2009-WeiglhoferFW #fault #locality #testing #using
Using Spectrum-Based Fault Localization for Test Case Grouping (MW, GF, FW), pp. 630–634.
CASECASE-2009-DotoliFMU #fault #framework #online #petri net
On-line fault diagnosis in a Petri Net framework (MD, MPF, AMM, WU), pp. 42–47.
CASECASE-2009-FranceschelliGS #distributed #fault #network
Decentralized fault diagnosis for sensor networks (MF, AG, CS), pp. 334–339.
CASECASE-2009-LyTBPV #fault #perspective
Fault diagnosis and failure prognosis for engineering systems: A global perspective (CL, KT, CSB, RP, GJV), pp. 108–115.
CASECASE-2009-SenguptaDBMD #detection #fault #modelling #using
Fault detection of Air Intake Systems of SI gasoline engines using mean value and within cycle models (SS, SD, AKB, SM, AKD), pp. 361–366.
CASECASE-2009-SinghKP #fault #markov #multi
A factorial hidden markov model (FHMM)-based reasoner for diagnosing multiple intermittent faults (SS, AK, KRP), pp. 146–151.
DACDAC-2009-ChaoYHLC #fault #metaprogramming #modelling
Fault models for embedded-DRAM macros (MCTC, HYY, RFH, SCL, CYC), pp. 714–719.
DACDAC-2009-FeySD #bound #fault tolerance #using
Computing bounds for fault tolerance using formal techniques (GF, AS, RD), pp. 190–195.
DATEDATE-2009-FickDCBSB #algorithm #fault tolerance
A highly resilient routing algorithm for fault-tolerant NoCs (DF, AD, GKC, VB, DS, DB), pp. 21–26.
DATEDATE-2009-GriessnigMSW #fault #novel #testing
Fault insertion testing of a novel CPLD-based fail-safe system (GG, RM, CS, RW), pp. 214–219.
DATEDATE-2009-IzosimovPPEP #analysis #embedded #fault tolerance #optimisation
Analysis and optimization of fault-tolerant embedded systems with hardened processors (VI, IP, PP, PE, ZP), pp. 682–687.
DATEDATE-2009-LeveugleCMV #fault #injection #quantifier #statistics
Statistical fault injection: Quantified error and confidence (RL, AC, PM, PV), pp. 502–506.
DATEDATE-2009-NeyDGPVBG #fault
A new design-for-test technique for SRAM core-cell stability faults (AN, LD, PG, SP, AV, MB, VG), pp. 1344–1348.
DATEDATE-2009-PomeranzR #fault
Selection of a fault model for fault diagnosis based on unique responses (IP, SMR), pp. 994–999.
DATEDATE-2009-Schat #fault #on the
On the relationship between stuck-at fault coverage and transition fault coverage (JS), pp. 1218–1221.
DATEDATE-2009-VayrynenSL #execution #fault tolerance #multi #optimisation
Fault-tolerant average execution time optimization for general-purpose multi-processor system-on-chips (MV, VS, EL), pp. 484–489.
DATEDATE-2009-YanHL #detection #fault #online
A unified online Fault Detection scheme via checking of Stability Violation (GY, YH, XL), pp. 496–501.
CSMRCSMR-2009-NagyM #analysis #fault #security
Static Security Analysis Based on Input-Related Software Faults (CN, SM), pp. 37–46.
CSMRCSMR-2009-SoriaPC #architecture #fault #locality #modelling #tool support #using
Tool Support for Fault Localization Using Architectural Models (AS, JADP, MRC), pp. 59–68.
ICSMEICSM-2009-JeffreyGG #effectiveness #fault #locality #multi #performance #using
Effective and efficient localization of multiple faults using value replacement (DJ, NG, RG), pp. 221–230.
MSRMSR-2009-ShinBOW #fault #predict #question
Does calling structure information improve the accuracy of fault prediction? (YS, RMB, TJO, EJW), pp. 61–70.
STOCSTOC-2009-ChechikLPR #fault tolerance #graph
Fault-tolerant spanners for general graphs (SC, ML, DP, LR), pp. 435–444.
LATALATA-2009-GlasserPT #fault tolerance #np-hard #problem
The Fault Tolerance of NP-Hard Problems (CG, AP, SDT), pp. 374–385.
FMFM-2009-JeffordsHAL #composition #fault tolerance #formal method #refinement #using
A Formal Method for Developing Provably Correct Fault-Tolerant Systems Using Partial Refinement and Composition (RDJ, CLH, MA, EIL), pp. 173–189.
IFMIFM-2009-HasanAT #analysis #array #configuration management #fault #memory management #probability
Formal Probabilistic Analysis of Stuck-at Faults in Reconfigurable Memory Arrays (OH, NA, ST), pp. 277–291.
HCIHIMI-DIE-2009-LeeLKC #detection #enterprise #fault #information management #process #statistics #using
Proactive Fault Detection Schema for Enterprise Information System Using Statistical Process Control (CL, DL, JK, JWC), pp. 113–122.
AdaSIGAda-2009-DinhB #design pattern #distributed #fault tolerance
Distributed container: a design pattern for fault tolerance and high speed data exchange (TD, SB), pp. 115–118.
KDDKDD-2009-PoernomoG09a #fault tolerance #mining #performance #towards
Towards efficient mining of proportional fault-tolerant frequent itemsets (AKP, VG), pp. 697–706.
KDDKDD-2009-WangSAL #fault #learning #network
Learning, indexing, and diagnosing network faults (TW, MS, DA, LL), pp. 857–866.
SEKESEKE-2009-CellierDFR #data mining #fault #locality #mining #named #process
DeLLIS: A Data Mining Process for Fault Localization (PC, MD, SF, OR), pp. 432–437.
SACSAC-2009-AbreuMSG #fault #locality #ranking
Refining spectrum-based fault localization rankings (RA, WM, MS, AJCvG), pp. 409–414.
ICSEICSE-2009-Hassan #complexity #fault #predict #using
Predicting faults using the complexity of code changes (AEH), pp. 78–88.
ICSEICSE-2009-SantelicesJYH #lightweight #multi #using
Lightweight fault-localization using multiple coverage types (RAS, JAJ, YY, MJH), pp. 56–66.
ICSEICSE-2009-WangCCZ #correctness #fault #locality #refinement
Taming coincidental correctness: Coverage refinement with context patterns to improve fault localization (XW, SCC, WKC, ZZ), pp. 45–55.
CGOCGO-2009-YuGS #fault tolerance #named
ESoftCheck: Removal of Non-vital Checks for Fault Tolerance (JY, MJG, MS), pp. 35–46.
HPCAHPCA-2009-LiRKHA #architecture #fault #hardware #modelling
Accurate microarchitecture-level fault modeling for studying hardware faults (MLL, PR, URK, SKSH, SVA), pp. 105–116.
SOSPSOSP-2009-CastroCMPADBB #fault #performance
Fast byte-granularity software fault isolation (MC, MC, JPM, MP, PA, AD, PB, RB), pp. 45–58.
SOSPSOSP-2009-ChenGKLR #fault #network
Surviving sensor network software faults (YC, OG, MAK, PL, JR), pp. 235–246.
ICSTICST-2009-KaminskiA #detection #fault #logic #testing #using
Using Logic Criterion Feasibility to Reduce Test Set Size While Guaranteeing Fault Detection (GKK, PA), pp. 356–365.
ICSTICST-2009-KaminskiA09a #fault #logic #mutation testing #performance #testing #using
Using a Fault Hierarchy to Improve the Efficiency of DNF Logic Mutation Testing (GKK, PA), pp. 386–395.
ICSTICST-2009-SteimannB #fault #multi
A Simple Coverage-Based Locator for Multiple Faults (FS, MB), pp. 366–375.
ICSTICST-2009-WaliaC #fault #modelling
Evaluating the Effect of the Number of Naturally Occurring Faults on the Estimates Produced by Capture-Recapture Models (GSW, JCC), pp. 210–219.
ICSTICST-2009-WedyanAB #automation #detection #effectiveness #fault #predict #refactoring #static analysis #tool support
The Effectiveness of Automated Static Analysis Tools for Fault Detection and Refactoring Prediction (FW, DA, JMB), pp. 141–150.
ISSTAISSTA-2009-SinhaSGJKH #exception #fault #java #locality #runtime
Fault localization and repair for Java runtime exceptions (SS, HS, CG, SJ, MK, MJH), pp. 153–164.
FATESTestCom-FATES-2009-El-FakihYF #fault #finite #state machine #testing
Testing Timed Finite State Machines with Guaranteed Fault Coverage (KEF, NY, HF), pp. 66–80.
FATESTestCom-FATES-2009-NunesHM #automation #data flow #fault tolerance #generative #named #testing
OConGraX — Automatically Generating Data-Flow Test Cases for Fault-Tolerant Systems (PRFN, SH, ACVdM), pp. 229–234.
ECSAECSA-2008-BritoLR #abstraction #architecture #development #fault tolerance
Development of Fault-Tolerant Software Systems Based on Architectural Abstractions (PHSB, RdL, CMFR), pp. 131–147.
CASECASE-2008-DotoliFM #automation #fault #first-order #hybrid #monitoring #petri net
Fault monitoring of automated manufacturing systems by first order hybrid Petri nets (MD, MPF, AMM), pp. 181–186.
CASECASE-2008-FerrariniBV #approach #automation #case study #fault
A pragmatic approach to fault diagnosis in hydraulic circuits for automated machining: A case study (LF, RB, CV), pp. 29–34.
DACDAC-2008-GulatiK #fault #simulation #towards #using
Towards acceleration of fault simulation using graphics processing units (KG, SPK), pp. 822–827.
DACDAC-2008-ZhangGT #2d #algorithm #configuration management #fault tolerance
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip (ZZ, AG, ST), pp. 441–446.
DATEDATE-2008-ElesIPP #embedded #fault tolerance #synthesis
Synthesis of Fault-Tolerant Embedded Systems (PE, VI, PP, ZP), pp. 1117–1122.
DATEDATE-2008-EngelkePSB #fault #industrial #simulation
Resistive Bridging Fault Simulation of Industrial Circuits (PE, IP, JS, BB), pp. 628–633.
DATEDATE-2008-GhoshNR #adaptation #fault tolerance #novel #using
A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking (SG, PN, KR), pp. 366–371.
DATEDATE-2008-IzosimovPEP #constraints #embedded #fault tolerance #scheduling
Scheduling of Fault-Tolerant Embedded Systems with Soft and Hard Timing Constraints (VI, PP, PE, ZP), pp. 915–920.
DATEDATE-2008-LeeNKT #fault #generative
Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation (JL, SN, MK, MT), pp. 1172–1177.
DATEDATE-2008-NessL #design #fault tolerance #statistics
Guiding Circuit Level Fault-Tolerance Design with Statistical Methods (DCN, DJL), pp. 348–353.
DATEDATE-2008-PomeranzR #detection #fault #logic
A Bridging Fault Model Where Undetectable Faults Imply Logic Redundancy (IP, SMR), pp. 1166–1171.
DATEDATE-2008-PomeranzR08a #fault #taxonomy
A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution (IP, SMR), pp. 1474–1479.
DATEDATE-2008-RaoO #fault tolerance #parallel #towards
Towards fault tolerant parallel prefix adders in nanoelectronic systems (WR, AO), pp. 360–365.
DATEDATE-2008-Schat #clustering #fault #process
Fault Clustering in deep-submicron CMOS Processes (JS), pp. 511–514.
DATEDATE-2008-SreedharSK #fault #modelling #on the #testing
On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits (AS, AS, SK), pp. 616–621.
DATEDATE-2008-SterponeATG #design #fault tolerance #on the #safety
On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications (LS, MAA, JNT, HGM), pp. 336–341.
VLDBVLDB-2008-KwonBG #distributed #fault tolerance #file system #using
Fault-tolerant stream processing using a distributed, replicated file system (YK, MB, AGG), pp. 574–585.
TACASTACAS-2008-FismanKL #distributed #fault tolerance #on the #protocol #verification
On Verifying Fault Tolerance of Distributed Protocols (DF, OK, YL), pp. 315–331.
ICSMEICSM-2008-Zhu #analysis #fault
Goal trees and fault trees for root cause analysis (QZ), pp. 436–439.
MSRMSR-2008-HataMK #precise #using
An extension of fault-prone filtering using precise training and a dynamic threshold (HH, OM, TK), pp. 89–98.
SCAMSCAM-2008-JiaH #fault #higher-order #mutation testing #testing #using
Constructing Subtle Faults Using Higher Order Mutation Testing (YJ, MH), pp. 249–258.
SASSAS-2008-PerryW #control flow #fault #reasoning
Reasoning about Control Flow in the Presence of Transient Faults (FP, DW), pp. 332–346.
ICALPICALP-A-2008-KempeRUW #bound #fault tolerance #quantum
Upper Bounds on the Noise Threshold for Fault-Tolerant Quantum Computing (JK, OR, FU, RdW), pp. 845–856.
FMFM-2008-BonakdarpourK #bound #fault
Masking Faults While Providing Bounded-Time Phased Recovery (BB, SSK), pp. 374–389.
SEFMSEFM-2008-XavierHM #fault tolerance #source code #using #verification
Using Formal Verification to Reduce Test Space of Fault-Tolerant Programs (KSX, SH, ACVdM), pp. 181–190.
ICPRICPR-2008-RognvaldssonPBS #approach #detection #fault #multi #self
A self-organized approach for unsupervised fault detection in multiple systems (TSR, GP, SB, MS), pp. 1–4.
SEKESEKE-2008-DasguptaEFKSV #design #fault tolerance #grid #standard #using
Design of a Fault-tolerant Job-flow Manager for Grid Environments Using Standard Technologies, Job-flow Patterns, and a Transparent Proxy (GD, OE, LF, SK, SMS, BV), pp. 814–819.
SEKESEKE-2008-HittleG #fault #file system #injection #testing #using
Fault Injection Testing of User-space File Systems Using Traditional and Aspect-based Techniques (JH, SG), pp. 873–876.
SEKESEKE-2008-KhoshgoftaarSD #knowledge-based #modelling #on the #quality
On the Rarity of Fault-prone Modules in Knowledge-based Software Quality Modeling (TMK, NS, DJD), pp. 279–284.
SACSAC-2008-AbreuGZG #automation #fault #invariant #locality #using
Automatic software fault localization using generic program invariants (RA, AG, PZ, AJCvG), pp. 712–717.
SACSAC-2008-ChenXN #analysis #detection #fault #specification
A detectability analysis of fault classes for Boolean specifications (ZC, BX, CN), pp. 826–830.
SACSAC-2008-LunaAAB #fault tolerance #heuristic #multi #resource management
Dynamic resource allocation heuristics for providing fault tolerance in multi-agent systems (AdLA, SA, JPB), pp. 66–70.
SACSAC-2008-PaesHY #fault tolerance
Extending RUP to develop fault tolerant software (CEdBP, CMH, ETY), pp. 783–790.
SACSAC-2008-SridharanBRA #architecture #fault tolerance #implementation #network
Implementing an autonomic architecture for fault-tolerance in a wireless sensor network testbed for at-scale experimentation (MS, SB, RR, AA), pp. 1670–1676.
SACSAC-2008-ZengLL #fault tolerance #mining
Mining fault-tolerant frequent patterns efficiently with powerful pruning (JJZ, GL, CCL), pp. 927–931.
FSEFSE-2008-SamaRWE #adaptation #detection #fault #modelling
Model-based fault detection in context-aware adaptive applications (MS, DSR, ZW, SGE), pp. 261–271.
ICSEICSE-2008-YilmazPW #fault #locality #using
Time will tell: fault localization using time spectra (CY, AMP, CW), pp. 81–90.
ICSEICSE-2008-YuJH #empirical #fault #locality #reduction #testing
An empirical study of the effects of test-suite reduction on fault localization (YY, JAJ, MJH), pp. 201–210.
ASPLOSASPLOS-2008-WellsCS #adaptation #fault #manycore
Adapting to intermittent faults in multicore systems (PMW, KC, GSS), pp. 255–264.
CGOCGO-2008-MurphyMSSA #type safety
Fault-safe code motion for type-safe languages (BRM, VM, FTS, TS, ARAT), pp. 144–154.
HPDCHPDC-2008-HupfeldKSHCMM #fault tolerance #named #scalability
FaTLease: scalable fault-tolerant lease negotiation with paxos (FH, BK, JS, MH, TC, JM, JM), pp. 1–10.
HPDCHPDC-2008-RamakrishnanR #fault tolerance #modelling #scheduling #workflow
Performability modeling for scheduling and fault tolerance strategies for scientific workflows (LR, DAR), pp. 23–34.
HPDCHPDC-2008-ShiPRJJ #adaptation #fault tolerance #grid #named #safety #using
Dynasa: adapting grid applications to safety using fault-tolerant methods (XS, JLP, ER, HJ, HJ), pp. 237–238.
ICSTICST-2008-El-FakihKPY #fault #finite #state machine
Extended Finite State Machine Based Test Derivation Driven by User Defined Faults (KEF, AK, SP, NY), pp. 308–317.
ICSTICST-2008-StreckerM #detection #fault #testing #user interface
Relationships between Test Suites, Faults, and Fault Detection in GUI Testing (JS, AMM), pp. 12–21.
ICSTICST-2008-WongWQZ #effectiveness #fault #locality #statistics
A Crosstab-based Statistical Method for Effective Fault Localization (WEW, TW, YQ, LZ), pp. 42–51.
ISSTAISSTA-2008-BaahPH #dependence #fault #graph #probability
The probabilistic program dependence graph and its application to fault diagnosis (GKB, AP, MJH), pp. 189–200.
ISSTAISSTA-2008-EdwardsTWVD #automation #fault #identification #named
AFID: an automated fault identification tool (AE, ST, SW, RV, BD), pp. 179–188.
ISSTAISSTA-2008-JeffreyGG #fault #locality #using
Fault localization using value replacement (DJ, NG, RG), pp. 167–178.
MBTMBT-2008-AichernigWW #consistency #testing
Improving Fault-based Conformance Testing (BKA, MW, FW), pp. 63–77.
TAPTAP-2008-WeyukerO #fault #predict #question #what
What Can Fault Prediction Do for YOU? (EJW, TJO), pp. 18–29.
WICSAWICSA-2007-MucciniPR #architecture #fault tolerance
Architecting Fault Tolerant Systems (HM, PP, AR), p. 43.
ASEASE-2007-Ebnenasir #fault tolerance
Diconic addition of failsafe fault-tolerance (AE), pp. 44–53.
CASECASE-2007-Alcaraz-MejiaLR #configuration management #fault
Redundancy Based Controller Reconfiguration for Fault Recovery of Manufacturing Systems (MAM, ELM, ART), pp. 128–133.
CASECASE-2007-AlenljungSLA #detection #fault #implementation #process
PLC-based Implementation of Process Observation and Fault Detection for Discrete Event Systems (TA, MS, BL, ), pp. 207–212.
CASECASE-2007-BasileCT #fault #online #using
Improving on-line fault diagnosis for discrete event systems using time (FB, PC, GDT), pp. 26–32.
CASECASE-2007-MoralesGM #effectiveness #fault #network #petri net
Diagnosis and Treatment of Faults in Productive Systems based on Bayesian Networks and Petri Net (RAGM, JIG, PEM), pp. 357–362.
DACDAC-2007-AhmedTJ #design #fault #generative
Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design (NA, MT, VJ), pp. 533–538.
DACDAC-2007-XuZC #architecture #fault #optimisation
SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects (QX, YZ, KC), pp. 676–681.
DATEDATE-2007-AngioliniJABM #design #fault tolerance #interactive
Interactive presentation: Improving the fault tolerance of nanometric PLA designs (FA, MHBJ, DA, LB, GDM), pp. 570–575.
DATEDATE-2007-DasM #analysis
Sensitivity analysis for fault-analysis and tolerance in RF front-end circuitry (TD, PRM), pp. 1277–1282.
DATEDATE-2007-EjlaliARM #energy #fault tolerance #network #performance
Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks (AE, BMAH, PMR, SGM), pp. 1647–1652.
DATEDATE-2007-FengZTC #design #fault #metric #validation
Clock domain crossing fault model and coverage metric for validation of SoC design (YF, ZZ, DT, XC), pp. 1385–1390.
DATEDATE-2007-GaneshpureK #automation #fault #generative #interactive #multi
Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults (KPG, SK), pp. 540–545.
DATEDATE-2007-HsiehLB #detection #fault #reduction
Reduction of detected acceptable faults for yield improvement via error-tolerance (TYH, KJL, MAB), pp. 1599–1604.
DATEDATE-2007-LeveugleAMTMMFRT #evaluation #fault #modelling
Experimental evaluation of protections against laser-induced faults and consequences on fault modeling (RL, AA, VM, ET, PM, CM, NF, JBR, AT), pp. 1587–1592.
DATEDATE-2007-MathaikuttySKLD #design #fault #generative #testing #validation
Design fault directed test generation for microprocessor validation (DM, SKS, SVK, DJL, AD), pp. 761–766.
DATEDATE-2007-NarayanasamyCC #fault #predict
Transient fault prediction based on anomalies in processor events (SN, AKC, BC), pp. 1140–1145.
DATEDATE-2007-NeyGLPVB #analysis #fault
Slow write driver faults in 65nm SRAM technology: analysis and March test solution (AN, PG, CL, SP, AV, MB), pp. 528–533.
DATEDATE-2007-RaoOK #fault tolerance #interactive #logic
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs (WR, AO, RK), pp. 865–869.
DATEDATE-2007-WangY #fault #synthesis #testing
High-level test synthesis for delay fault testability (SJW, THY), pp. 45–50.
ESOPESOP-2007-FrancalanzaH #bisimulation #fault tolerance #proving
A Fault Tolerance Bisimulation Proof for Consensus (Extended Abstract) (AF, MH), pp. 395–410.
ICSMEICSM-2007-McMasterM #analysis #detection #fault #probability #reduction #testing
Fault Detection Probability Analysis for Coverage-Based Test Suite Reduction (SM, AMM), pp. 335–344.
MSRMSR-2007-MizunoINK #approach
Spam Filter Based Approach for Finding Fault-Prone Software Modules (OM, SI, SN, TK), p. 4.
PLDIPLDI-2007-PerryMRLAW #assembly #fault tolerance
Fault-tolerant typed assembly language (FP, LWM, GAR, JL, DIA, DW), pp. 42–53.
SEFMSEFM-2007-CastroM #fault tolerance #logic #reasoning
An ought-to-do deontic logic for reasoning about fault-tolerance: the diarrheic philosophers (PFC, TSEM), pp. 151–160.
AdaEuropeAdaEurope-2007-LauLCY #detection #fault #on the
On Detecting Double Literal Faults in Boolean Expressions (MFL, YL, TYC, YTY), pp. 55–68.
AdaEuropeAdaEurope-2007-PulidoUZP #ada #fault
Handling Temporal Faults in Ada 2005 (JAP, SU, JZ, JAdlP), pp. 15–28.
SEKESEKE-2007-EmerVJ #testing
Fault-Based Testing of Data Schemas (MCFPE, SRV, MJ), pp. 123–128.
SEKESEKE-2007-WongZQCD #effectiveness #fault #locality #network #using
Effective Fault Localization using BP Neural Networks (WEW, LZ, YQ, KYC, JD), pp. 374–379.
ESEC-FSEESEC-FSE-2007-MizunoK #detection #empirical #fault
Training on errors experiment to detect fault-prone software modules by spam filter (OM, TK), pp. 405–414.
ICSEICSE-2007-ChesleyRRT #fault #java #locality #source code
Crisp- A Fault Localization Tool for Java Programs (OCC, XR, BGR, FT), pp. 775–779.
ICSEICSE-2007-DammL #detection #fault #implementation #metric
Company-Wide Implementation of Metrics for Early Software Fault Detection (LOD, LL), pp. 560–570.
ICSEICSE-2007-KimZWZ #fault #predict
Predicting Faults from Cached History (SK, TZ, EJWJ, AZ), pp. 489–498.
CGOCGO-2007-WangKWY #detection #fault #multi #thread
Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection (CW, HSK, YW, VY), pp. 244–258.
HPCAHPCA-2007-LiY #correctness #fault tolerance
Application-Level Correctness and its Impact on Fault Tolerance (XL, DY), pp. 181–192.
HPCAHPCA-2007-PascualGAD #architecture #fault tolerance #protocol
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures (RFP, JMG, MEA, JD), pp. 157–168.
HPCAHPCA-2007-RacunasCMM #fault
Perturbation-based Fault Screening (PR, KC, SM, SSM), pp. 169–180.
HPDCHPDC-2007-GadgilFPP #architecture #fault tolerance #scalability
Scalable, fault-tolerant management in a service oriented architecture (HG, GF, SP, MEP), pp. 235–236.
HPDCHPDC-2007-ZhengVT #fault tolerance #replication #scheduling
Fault-tolerant scheduling for differentiated classes of tasks with low replication cost in computational grids (QZ, BV, CKT), pp. 239–240.
LCTESLCTES-2007-HuangCTC #automation #fault #locality #statistics
Automated fault localization with statistically suspicious program states (TYH, PCC, CHT, HAC), pp. 11–20.
PPoPPPPoPP-2007-MuhlenfeldW #c++ #concurrent #detection #fault #multi #thread
Fault detection in multi-threaded c++ server applications (AM, FW), pp. 142–143.
PPoPPPPoPP-2007-TapusH #fault tolerance #named #parallel #performance
Speculations: providing fault-tolerance and improving performance of parallel applications (CT, JH), pp. 152–153.
PPoPPPPoPP-2007-ZhuCA #fault tolerance #grid #streaming
Supporting fault-tolerance in streaming grid applications (QZ, LC, GA), pp. 156–157.
SOSPSOSP-2007-HendricksGR #fault tolerance
Low-overhead byzantine fault-tolerant storage (JH, GRG, MKR), pp. 73–86.
SOSPSOSP-2007-KotlaADCW #fault tolerance #named
Zyzzyva: speculative byzantine fault tolerance (RK, LA, MD, AC, ELW), pp. 45–58.
SOSPSOSP-2007-VandiverBLM #commit #fault #scheduling #transaction #using
Tolerating byzantine faults in transaction processing systems using commit barrier scheduling (BV, HB, BL, SM), pp. 59–72.
ISSTAISSTA-2007-OstrandWB #algorithm #automation #identification
Automating algorithms for the identification of fault-prone files (TJO, EJW, RMB), pp. 219–227.
ISSTAISSTA-2007-RenR #fault #heuristic #java #locality #ranking
Heuristic ranking of java program edits for fault localization (XR, BGR), pp. 239–249.
ICSTSAT-2007-StaberB #fault #locality
Fault Localization and Correction with QBF (SS, RB), pp. 355–368.
TAPTAP-2007-Gargantini #detection #fault #model checking #testing #using
Using Model Checking to Generate Fault Detecting Tests (AG), pp. 189–206.
FATESTestCom-FATES-2007-EldhPHJ #case study #component #fault #middleware #testing
Component Testing Is Not Enough — A Study of Software Faults in Telecom Middleware (SE, SP, HH, PJ), pp. 74–89.
FATESTestCom-FATES-2007-UralX #approach #detection #fault
An EFSM-Based Passive Fault Detection Approach (HU, ZX), pp. 335–350.
CASECASE-2006-LiZY #development #fault #integration #probability #research
Research on Computational Method of Fault Probability for New Product Development Based on Intelligence and Integration (FL, LZ, YY), pp. 319–324.
CASECASE-2006-ZhangYZ #fault tolerance
Sliding Mode Control with Sensor Fault Tolerant for Electronic Throttle (PZ, CY, JZ), pp. 568–573.
CASECASE-2006-ZhouYZJDP #design #fault #network
Design of Dynamic Systems Based on Dynamic Fault Trees and Neural Networks (ZZ, ZY, JZ, GJ, DD, ZP), pp. 124–128.
DACDAC-2006-MuraliABM #fault tolerance #multi #network
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (SM, DA, LB, GDM), pp. 845–848.
DACDAC-2006-VrankenGGSH #detection #fault
Fault detection and diagnosis with parity trees for space compaction of test responses (HPEV, SKG, AG, JS, FH), pp. 1095–1098.
DACDAC-2006-ZhuQ #fault tolerance #multi #prototype #runtime
Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery (XZ, WQ), pp. 53–56.
DATEDATE-2006-Al-ArsHG #fault #modelling #testing
Space of DRAM fault models and corresponding testing (ZAA, SH, AJvdG), pp. 1252–1257.
DATEDATE-2006-BensoBCNP #automation #fault #testing
Automatic march tests generations for static linked faults in SRAMs (AB, AB, SDC, GDN, PP), pp. 1258–1263.
DATEDATE-2006-BhaduriSCTGG #analysis #architecture #design #fault tolerance #framework #hybrid
A hybrid framework for design and analysis of fault-tolerant architectures (DB, SKS, DC, VET, PSG, MG), pp. 335–336.
DATEDATE-2006-IzosimovPEP #distributed #embedded #fault tolerance #performance #synthesis #trade-off
Synthesis of fault-tolerant schedules with transparency/performance trade-offs for distributed embedded systems (VI, PP, PE, ZP), pp. 706–711.
DATEDATE-2006-KranitisMLTPGH #embedded #fault #pipes and filters #testing
Optimal periodic testing of intermittent faults in embedded pipelined processor applications (NK, AM, NL, GT, AMP, DG, CH), pp. 65–70.
DATEDATE-2006-LinC #multi
Multiple-fault diagnosis based on single-fault activation and single-output observation (YCL, KTC), pp. 424–429.
DATEDATE-2006-OmanaCRM #detection #fault #low cost #reliability
Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects (MO, JMC, DR, CM), pp. 170–175.
DATEDATE-2006-PomeranzR #detection #fault #generative #testing
Generation of broadside transition fault test sets that detect four-way bridging faults (IP, SMR), pp. 907–912.
DATEDATE-2006-PomeranzR06a #fault
Test compaction for transition faults under transparent-scan (IP, SMR), pp. 1264–1269.
DATEDATE-2006-RosselloS #fault #identification
A compact model to identify delay faults due to crosstalk (JLR, JS), pp. 902–906.
DATEDATE-2006-SutharD #detection #fault #multi #online #performance #testing
Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults (VS, SD), pp. 1165–1170.
DATEDATE-2006-WangCG #fault #formal method #probability #testing #using
Test set enrichment using a probabilistic fault model and the theory of output deviations (ZW, KC, MG), pp. 1270–1275.
DATEDATE-2006-YangC #fault
Timing-reasoning-based delay fault diagnosis (KY, KTC), pp. 418–423.
DATEDATE-DF-2006-CarvalhoPJF #algorithm #fault tolerance #implementation
A practical implementation of the fault-tolerant daisy-chain clock synchronization algorithm on CAN (FCC, CEP, ETSJ, EPdF), pp. 189–194.
FASEFASE-2006-AichernigD #concurrent #fault #testing
From Faults Via Test Purposes to Test Cases: On the Fault-Based Testing of Concurrent Systems (BKA, CCD), pp. 324–338.
FoSSaCSFoSSaCS-2006-FrancalanzaH #fault tolerance
A Theory for Observational Fault Tolerance (AF, MH), pp. 16–31.
TACASTACAS-2006-GuptaJ #comparison #control flow #effectiveness #fault #testing
An Experimental Comparison of the Effectiveness of Control Flow Based Testing Approaches on Seeded Faults (AG, PJ), pp. 365–378.
ICSMEICSM-2006-NeedhamJ #fault #metric
A Software Fault Tree Metric (DN, SJ), pp. 401–410.
ICSMEICSM-2006-TomaszewskiGL #fault #predict
A Method for an Accurate Early Prediction of Faults in Modified Classes (PT, HG, LL), pp. 487–496.
ICALPICALP-v1-2006-FinocchiGI #fault #memory management #sorting
Optimal Resilient Sorting and Searching in the Presence of Memory Faults (IF, FG, GFI), pp. 286–298.
ICALPICALP-v1-2006-Reichardt #fault tolerance #quantum
Fault-Tolerance Threshold for a Distance-Three Quantum Code (BR), pp. 50–61.
AdaSIGAda-2006-BarbariaPP #fault tolerance #middleware
Schizophrenic middleware support for fault tolerance (KB, LP, IP), pp. 51–60.
EDOCEDOC-2006-ZuoLW #fault tolerance #transaction
A Fault-Tolerant Scheme for Complex Transaction Patterns in J2EE (LZ, SL, JW), pp. 165–174.
ICPRICPR-v4-2006-ChenJY06a #detection #distributed #fault
Fault Detection in Distributed Systems by Representative Subspace Mapping (HC, GJ, KY), pp. 912–915.
RERE-2006-EbnenasirCK #analysis #case study #fault tolerance #modelling
Use Case-Based Modeling and Analysis of Failsafe Fault-Tolerance (AE, BHCC, SK), pp. 336–337.
SACSAC-2006-BessaniFL #fault tolerance #named #tuple
BTS: a Byzantine fault-tolerant tuple space (ANB, JdSF, LCL), pp. 429–433.
SACSAC-2006-ChenJH #detection #fault #generative #multi #sequence #testing
A new method of generating synchronizable test sequences that detect output-shifting faults based on multiple UIO sequences (KC, FJ, CdH), pp. 1791–1797.
SACSAC-2006-ChenJUY #detection #distributed #fault #monitoring
Combining supervised and unsupervised monitoring for fault detection in distributed computing systems (HC, GJ, CU, KY), pp. 705–709.
FSEFSE-2006-LiuH #approach #fault #proximity
Failure proximity: a fault localization-based approach (CL, JH), pp. 46–56.
ICSEICSE-2006-BaudryFT #fault #locality #performance #testing
Improving test suites for efficient fault localization (BB, FF, YLT), pp. 82–91.
ICSEICSE-2006-BruntinkDT #exception #fault
Discovering faults in idiom-based exception handling (MB, AvD, TT), pp. 242–251.
ICSEICSE-2006-Hao #fault #interactive #locality #testing
Testing-based interactive fault localization (DH), pp. 957–960.
ICSEICSE-2006-ZhangGG #automation #fault
Locating faults through automated predicate switching (XZ, NG, RG), pp. 272–281.
ASPLOSASPLOS-2006-ReddyRP #comprehension #fault tolerance #predict #thread
Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance (VKR, ER, SP), pp. 83–94.
CCCC-2006-GuoRW #execution #fault #locality
Accurately Choosing Execution Runs for Software Fault Localization (LG, AR, TW), pp. 80–95.
HPDCHPDC-2006-DuanPF #data mining #detection #fault #grid #predict
Data Mining-based Fault Prediction and Detection on the Grid (RD, RP, TF), pp. 305–308.
HPDCHPDC-2006-WoitaszekT #fault tolerance
Fault Tolerance of Tornado Codes for Archival Storage (MW, HMT), pp. 83–92.
OSDIOSDI-2006-CowlingMLRS #fault tolerance #hybrid #protocol #replication
HQ Replication: A Hybrid Quorum Protocol for Byzantine Fault Tolerance (JAC, DSM, BL, RR, LS), pp. 177–190.
AMOSTAMOST-J-2005-Paradkar06 #case study #detection #effectiveness #fault #generative #modelling #testing
A quest for appropriate software fault models: Case studies on fault detection effectiveness of model-based test generation techniques (AMP), pp. 949–959.
ASEASE-2005-HaoPZZMS #approach #fault #locality #testing
A similarity-aware approach to testing based fault localization (DH, YP, LZ, WZ, HM, JS), pp. 291–294.
ASEASE-2005-JonesH #automation #empirical #evaluation
Empirical evaluation of the tarantula automatic fault-localization technique (JAJ, MJH), pp. 273–282.
ASEASE-2005-WangR #automation #fault #generative #locality
Automated path generation for software fault localization (TW, AR), pp. 347–351.
DACDAC-2005-ManolacheEP #communication #energy #fault #latency
Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC (SM, PE, ZP), pp. 266–269.
DACDAC-2005-MonnetRL #evaluation #fault
Asynchronous circuits transient faults sensitivity evaluation (YM, MR, RL), pp. 863–868.
DACDAC-2005-ZykovMJVS #architecture #novel #performance #trade-off
High performance computing on fault-prone nanotechnologies: novel microarchitecture techniques exploiting reliability-delay trade-offs (AVZ, EM, MFJ, GdV, AS), pp. 270–273.
DATEDATE-2005-Al-ArsHMG #analysis #fault #framework #generative #testing
Framework for Fault Analysis and Test Generation in DRAMs (ZAA, SH, GM, AJvdG), pp. 1020–1021.
DATEDATE-2005-ChandrasekarH #fault #generative #incremental #integration #learning #performance #satisfiability #testing
Integration of Learning Techniques into Incremental Satisfiability for Efficient Path-Delay Fault Test Generation (KC, MSH), pp. 1002–1007.
DATEDATE-2005-IzosimovPEP #design #distributed #embedded #fault tolerance #optimisation
Design Optimization of Time-and Cost-Constrained Fault-Tolerant Distributed Embedded Systems (VI, PP, PE, ZP), pp. 864–869.
DATEDATE-2005-KumarTCJ #fault
Implicit and Exact Path Delay Fault Grading in Sequential Circuits (MMVK, ST, SC, RJ), pp. 990–995.
DATEDATE-2005-Lopez-OngilGPE #fault #performance
Techniques for Fast Transient Fault Grading Based on Autonomous Emulation (CLO, MGV, MPG, LEA), pp. 308–309.
DATEDATE-2005-Marculescu #bound #design #energy #fault tolerance
Energy Bounds for Fault-Tolerant Nanoscale Designs (DM), pp. 74–79.
DATEDATE-2005-PomeranzR05a #detection #fault #heuristic
The Accidental Detection Index as a Fault Ordering Heuristic for Full-Scan Circuits (IP, SMR), pp. 1008–1013.
DATEDATE-2005-SandireddyA #detection #fault #multi
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits (RKKRS, VDA), pp. 1014–1019.
DATEDATE-2005-SavioliCCF #approach #fault
Fault-Trajectory Approach for Fault Diagnosis on Analog Circuits (CES, CCC, JVC, ACdMF), pp. 174–175.
DATEDATE-2005-SchianoOLPS #analysis #fault #on the #reliability
On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories (LS, MO, FL, SP, AS), pp. 580–585.
DATEDATE-2005-SuC #configuration management #design #fault tolerance
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips (FS, KC), pp. 1202–1207.
SIGMODSIGMOD-2005-BalazinskaBMS #distributed #fault tolerance
Fault-tolerance in the Borealis distributed stream processing system (MB, HB, SM, MS), pp. 13–24.
FoSSaCSFoSSaCS-2005-BouyerCD #automaton #fault #using
Fault Diagnosis Using Timed Automata (PB, FC, DD), pp. 219–233.
ICSMEICSM-2005-DoR #empirical #fault #testing
A Controlled Experiment Assessing Test Case Prioritization Techniques via Mutation Faults (HD, GR), pp. 411–420.
ICSMEICSM-2005-HaoZZMS #case study #fault #locality #reduction #testing #using
Eliminating Harmful Redundancy for Testing-Based Fault Localization Using Test Suite Reduction: An Experimental Study (DH, LZ, HZ, HM, JS), pp. 683–686.
ICSMEICSM-2005-HassanH #fault #predict
The Top Ten List: Dynamic Fault Prediction (AEH, RCH), pp. 263–272.
PLDIPLDI-2005-AyersSMARW #control flow #distributed #fault #named #re-engineering
TraceBack: first fault diagnosis by reconstruction of distributed control flow (AA, RS, CM, AA, JR, EW), pp. 201–212.
FMFM-2005-QiuWPZ #fault #semantics
Semantics of BPEL4WS-Like Fault and Compensation Handling (ZQ, SW, GP, XZ), pp. 350–365.
IFMIFM-2005-BostromW #development #distributed #fault tolerance #grid #using
Development of Fault Tolerant Grid Applications Using Distributed B (PB, MAW), pp. 167–186.
AdaEuropeAdaEurope-2005-LundqvistSG #fault tolerance
Non-intrusive System Level Fault-Tolerance (KL, JS, SG), pp. 156–166.
AdaEuropeAdaEurope-2005-RogersW #ada #fault tolerance #using
The Application of Compile-Time Reflection to Software Fault Tolerance Using Ada 95 (PR, AJW), pp. 236–247.
EDOCEDOC-2005-SantosLM #fault tolerance #framework #named #web #web service
FTWeb: A Fault Tolerant Infrastructure for Web Services (GTS, LCL, CM), pp. 95–105.
ICEISICEIS-v4-2005-TosicZ #fault tolerance
Generic Fault-Tolerant Layer Supporting Publish/Subscribe Messaging (MT, ABZ), pp. 111–118.
SACSAC-2005-JafariDBS #adaptation #fault tolerance #monitoring
Adaptive and fault tolerant medical vest for life-critical medical monitoring (RJ, FD, PB, MS), pp. 272–279.
SACSAC-2005-KanedaTET #fault tolerance #object-oriented #transaction
Transactional agent model for fault-tolerant object systems (TK, YT, TE, MT), pp. 1133–1138.
SACSAC-2005-ZorzoM #fault tolerance
An agent model for fault-tolerant systems (AFZ, FRM), pp. 60–65.
ICSEICSE-2005-RuthruffBR #empirical #fault #locality
An empirical study of fault localization for end-user programmers (JRR, MMB, GR), pp. 352–361.
CGOCGO-2005-ReisCVRA #fault tolerance #named
SWIFT: Software Implemented Fault Tolerance (GAR, JC, NV, RR, DIA), pp. 243–254.
HPDCHPDC-2005-BatsakisB #clustering #fault tolerance
Cluster delegation: high-performance, fault-tolerant data sharing in NFS (AB, RCB), pp. 100–109.
PPoPPPPoPP-2005-ChenFGLABD #approach #fault tolerance #performance
Fault tolerant high performance computing by a coding approach (ZC, GEF, EG, JL, TA, GB, JD), pp. 213–223.
SOSPSOSP-2005-Abd-El-MalekGGRW #fault tolerance
Fault-scalable Byzantine fault-tolerant services (MAEM, GRG, GRG, MKR, JJW), pp. 59–74.
SOSPSOSP-2005-AiyerACDMP #fault tolerance
BAR fault tolerance for cooperative services (ASA, LA, AC, MD, JPM, CP), pp. 45–58.
AMOSTAMOST-2005-CaiL #detection #fault #test coverage #testing
The effect of code coverage on fault detection under different testing profiles (XC, MRL).
AMOSTAMOST-2005-Paradkar #case study #detection #effectiveness #fault #generative #modelling #testing
Case studies on fault detection effectiveness of model based test generation techniques (AMP).
ICTSSTestCom-2005-LiL05a #algorithm #detection #fault #network #probability #testing
Fault Detection of Hierarchical Networks with Probabilistic Testing Algorithms (KL, DL), pp. 258–274.
ICTSSTestCom-2005-PapCD #fault #on the
On FSM-Based Fault Diagnosis (ZP, GC, SD), pp. 159–174.
ICTSSTestCom-2005-UyarWBWF #fault #modelling #multi
Timing Fault Models for Systems with Multiple Timers (MÜU, YW, SSB, AW, MAF), pp. 192–208.
ASEASE-2004-JiXCHCM #fault #statistics
A Statistical Model to Locate Faults at Input Level (JW, XxJ, CL, HyY, CL, MJ), pp. 274–277.
DACDAC-2004-LiRP #fault #generative #on the #testing
On test generation for transition faults with minimized peak power dissipation (WL, SMR, IP), pp. 504–509.
DACDAC-2004-StrojwasCGHKLNPT #fault #question
When IC yield missed the target, who is at fault? (AJS, MC, VG, JH, JK, ML, WN, DP, MT), p. 80.
DACDAC-2004-ZhangDC #distributed #embedded #energy #fault tolerance #realtime
Energy-aware deterministic fault tolerance in distributed real-time embedded systems (YZ, RPD, KC), pp. 550–555.
DATEDATE-v1-2004-LeveugleA #fault #injection
Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow (RL, AA), pp. 590–595.
DATEDATE-v1-2004-MetraMO #design #fault #question #testing
Are Our Design for Testability Features Fault Secure? (CM, TMM, MO), pp. 714–715.
DATEDATE-v1-2004-PadmanabanT #fault #identification #performance #using
Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults (SP, ST), pp. 50–55.
DATEDATE-v1-2004-PomeranzR #fault #metric #similarity
Level of Similarity: A Metric for Fault Collapsing (IP, SMR), pp. 56–61.
DATEDATE-v1-2004-PomeranzVRS #detection #fault
Z-Sets and Z-Detections: Circuit Characteristics that Simplify Fault Diagnosis (IP, SV, SMR, BS), pp. 68–75.
DATEDATE-v2-2004-Al-ArsG #fault #in memory #memory management #testing
Soft Faults and the Importance of Stresses in Memory Testing (ZAA, AJvdG), pp. 1084–1091.
DATEDATE-v2-2004-HuangCHTHH #analysis #fault #probability
Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis (YH, WTC, CJH, HYT, AH, YTH), pp. 1072–1077.
DATEDATE-v2-2004-HuangTL #fault tolerance #programmable
Fault Tolerance of Programmable Switch Blocks (JH, MBT, FL), pp. 1358–1359.
DATEDATE-v2-2004-PinelloCS #deployment #embedded #fault tolerance #realtime
Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications (CP, LPC, ALSV), pp. 1164–1169.
DATEDATE-v2-2004-Stanley-MarbellM #adaptation #fault tolerance
Local Decisions and Triggering Mechanisms for Adaptive Fault-Tolerance (PSM, DM), pp. 968–973.
DATEDATE-v2-2004-VazquezG #fault #monitoring #power management
Power Supply Noise Monitor for Signal Integrity Faults (JRV, JPdG), pp. 1406–1407.
DATEDATE-v2-2004-WangLC #fault #hardware #hybrid #testing
Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets (SW, XL, STC), pp. 1296–1301.
DATEDATE-v2-2004-ZhangC #analysis #embedded #fault tolerance #realtime #scalability
Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems (YZ, KC), pp. 1170–1175.
SIGMODSIGMOD-2004-ShahHB #fault tolerance #parallel
Highly-Available, Fault-Tolerant, Parallel Dataflows (MAS, JMH, EAB), pp. 827–838.
ICSMEICSM-2004-MemonX #detection #effectiveness #empirical #evaluation #testing
Empirical Evaluation of the Fault-Detection Effectiveness of Smoke Regression Test Cases for GUI-Based Software (AMM, QX), pp. 8–17.
STOCSTOC-2004-FinocchiI #fault #memory management #sorting
Sorting and searching in the presence of memory faults (without redundancy) (IF, GFI), pp. 101–110.
SEFMSEFM-2004-LaibinisT #architecture #fault tolerance #specification
Fault Tolerance in a Layered Architecture: A General Specification Pattern in B (LL, ET), pp. 346–355.
ICEISICEIS-v2-2004-DhoteMR #analysis #detection #development #fault
Development of an Expert System for Detecting Incipient Fault in Transformer by Dissolved Gas Analysis (NKD, DMH, MRR), pp. 210–215.
ECIRECIR-2004-Esser #fault tolerance #information retrieval #multi
Fault-Tolerant Fulltext Information Retrieval in Digital Multilingual Encyclopedias with Weighted Pattern Morphing (WME), pp. 338–352.
ICPRICPR-v3-2004-HanJ #fault tolerance #image #parallel
From Massively Parallel Image Processors to Fault-Tolerant Nanocomputers (JH, PJ), pp. 2–7.
LOPSTRLOPSTR-2004-KulkarniBE #automation #fault tolerance #source code #synthesis #verification
Mechanical Verification of Automatic Synthesis of Fault-Tolerant Programs (SSK, BB, AE), pp. 36–52.
SACSAC-2004-Al-SadiA #algorithm #fault tolerance #performance #using
Efficient fault-tolerant routing algorithm for OTIS-cube using unsafety vectors (JAS, AMA), pp. 1426–1430.
ICSEICSE-2004-Jones #fault #locality #using #visualisation
Fault Localization Using Visualization of Test Information (JAJ), pp. 54–56.
ICSEICSE-2004-Thelin #estimation #fault #process
Team-Based Fault Content Estimation in the Software Inspection Process (TT), pp. 263–272.
FATESFATES-2004-ArtsCS #development #erlang #fault tolerance #protocol
Semi-formal Development of a Fault-Tolerant Leader Election Protocol in Erlang (TA, KC, HS), pp. 140–154.
FATESFATES-2004-YoonKSC #analysis #component #empirical #fault #injection #mutation testing #testing #using
Testing COM Components Using Software Fault Injection and Mutation Analysis, and Its Empirical Study (HY, EK, JS, BC), pp. 210–224.
ASEASE-2003-BunusF #automation #behaviour #fault #locality #modelling #physics #simulation #verification
Semi-Automatic Fault Localization and Behavior Verification for Physical System Simulation Models (PB, PF), pp. 253–258.
ASEASE-2003-GuoCS #fault #network #predict
Predicting Fault Prone Modules by the Dempster-Shafer Belief Networks (LG, BC, HS), pp. 249–252.
ASEASE-2003-RenierisR #fault #locality #nearest neighbour #query
Fault Localization With Nearest Neighbor Queries (MR, SPR), pp. 30–39.
DACDAC-2003-KrsticWCLM #fault #modelling #statistics
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models (AK, LCW, KTC, JJL, TMM), pp. 668–673.
DACDAC-2003-LimaCR #design #fault tolerance
Designing fault tolerant systems into SRAM-based FPGAs (FL, LC, RAdLR), pp. 650–655.
DATEDATE-2003-IchiharaI #fault #generative #testing
Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG (HI, TI), pp. 11180–11181.
DATEDATE-2003-LiuC #approach #fault #identification
A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis (CL, KC), pp. 10230–10237.
DATEDATE-2003-OhtakeOF #algorithm #fault #generative #testing #using
A Method of Test Generation fo Path Delay Faults Using Stuck-at Fault Test Generation Algorithms (SO, KO, HF), pp. 10310–10315.
DATEDATE-2003-PadmanabanT #fault
Non-Enumerative Path Delay Fault Diagnosis (SP, ST), pp. 10322–10327.
DATEDATE-2003-PomeranzRK #detection #fault #on the
On the Characterization of Hard-to-Detect Bridging Faults (IP, SMR, SK), pp. 11012–11019.
DATEDATE-2003-PradhanLC #detection #fault #generative #named #novel
EBIST: A Novel Test Generator with Built-In Fault Detection Capability (DKP, CL, KC), pp. 10224–10229.
DATEDATE-2003-SyalH #algorithm #fault #identification #low cost #novel
A Novel, Low-Cost Algorithm for Sequentially Untestable Fault Identification (MS, MSH), pp. 10316–10321.
DATEDATE-2003-XuN #fault #testing
Delay Fault Testing of Core-Based Systems-on-a-Chi (QX, NN), pp. 10744–10752.
DATEDATE-2003-ZachariahCKT #fault #modelling #on the
On Modeling Cross-Talk Faults (STZ, YSC, SK, CT), pp. 10490–10495.
FMFME-2003-Randell #fault #on the
On Failures and Faults (BR), pp. 18–39.
FMFME-2003-Schafer #analysis #fault #model checking #realtime
Combining Real-Time Model-Checking and Fault Tree Analysis (AS), pp. 522–541.
AGTIVEAGTIVE-2003-DottiRS #analysis #behaviour #fault #graph grammar #specification #using
Specification and Analysis of Fault Behaviours Using Graph Grammars (FLD, LR, OMdS), pp. 120–133.
SOFTVISSOFTVIS-2003-RuthruffCBCPFM #fault #locality #visualisation
End-User Software Visualizations for Fault Localization (JRR, EC, MMB, CRC, SP, MFI, MM), pp. 123–132.
AdaEuropeAdaEurope-2003-Kienzle #bibliography #fault tolerance #perspective
Software Fault Tolerance: An Overview (JK), pp. 45–67.
AdaEuropeAdaEurope-2003-Patinno-MartinezJR #fault tolerance #transaction
Transactions and Groups as Generic Building Blocks for Software Fault Tolerance (MPM, RJP, ABR), pp. 208–219.
SEKESEKE-2003-DingZSA #architecture #fault tolerance #specification #validation
Specification and Validation of Fault-Tolerant Software Architectures Based on Actor Model (HD, CZ, LS, GA), pp. 458–466.
ESEC-FSEESEC-FSE-2003-GuerraRRL #architecture #fault tolerance
A fault-tolerant software architecture for COTS-based software systems (PAdCG, CMFR, ABR, RdL), pp. 375–378.
ICSEICSE-2003-SmeikalG #case study #distributed #fault tolerance
Fault-tolerance in a Distributed Management System: a Case Study (RS, KMG), pp. 478–483.
ICSEICSE-2003-ZageZ #analysis #fault #process #scalability
An Analysis of the Fault Correction Process in a Large-Scale SDL Production Model (DMZ, WMZ), pp. 570–577.
HPCAHPCA-2003-ChristodoulopoulouAB #approach #clustering #fault tolerance #memory management #replication
Dynamic Data Replication: An Approach to Providing Fault-Tolerant Shared Memory Clusters (RC, RA, AB), pp. 203–214.
SOSPSOSP-2003-YinMVAD #execution #fault tolerance
Separating agreement from execution for byzantine fault tolerant services (JY, JPM, AV, LA, MD), pp. 253–267.
FATESFATES-2003-SungC #embedded #fault #hardware #injection #interactive #testing #using
Interaction Testing in an Embedded System Using Hardware Fault Injection and Program Mutation (AS, BC), pp. 192–204.
ICTSSTestCom-2003-El-FakihPYB #fault #finite #state machine
Fault Diagnosis in Extended Finite State Machines (KEF, SP, NY, GvB), pp. 197–210.
ICTSSTestCom-2003-TrenkaevKS #communication #fault #testing
Interoperability Testing Based on a Fault Model for a System of Communicating FSMs (VT, MK, SS), pp. 226–242.
DACDAC-2002-AttarhaN #analysis #fault #modelling #using
Signal integrity fault analysis using reduced-order modeling (AA, MN), pp. 367–370.
DACDAC-2002-BhuniaRS #analysis #detection #fault #locality #novel
A novel wavelet transform based transient current analysis for fault detection and localization (SB, KR, JS), pp. 361–366.
DACDAC-2002-LiouWCDMKW #fault #multi #performance #testing #using
Enhancing test efficiency for delay fault testing using multiple-clocked schemes (JJL, LCW, KTC, JD, MRM, RK, TWW), pp. 371–374.
DATEDATE-2002-Al-ArsG #fault #in memory #memory management #modelling #testing
Modeling Techniques and Tests for Partial Faults in Memory Devices (ZAA, AJvdG), pp. 89–93.
DATEDATE-2002-BayraktarogluO #fault
Gate Level Fault Diagnosis in Scan-Based BIST (IB, AO), pp. 376–381.
DATEDATE-2002-BerrojoGCRSEL #injection
New Techniques for Speeding-Up Fault-Injection Campaigns (LB, IG, FC, MSR, GS, LE, CL), pp. 847–852.
DATEDATE-2002-BhuniaR #analysis #detection #fault #using
Fault Detection and Diagnosis Using Wavelet Based Transient Current Analysis (SB, KR), p. 1118.
DATEDATE-2002-FavalliM #fault #problem #self
Problems Due to Open Faults in the Interconnections of Self-Checking Data-Paths (MF, CM), pp. 612–617.
DATEDATE-2002-GoorAC #fault
Minimal Test for Coupling Faults in Word-Oriented Memories (AJvdG, MSA, AC), pp. 944–948.
DATEDATE-2002-Hsiao #fault #identification
Maximizing Impossibilities for Untestable Fault Identification (MSH), pp. 949–953.
DATEDATE-2002-LechugaMMB #detection #fault
Built-In Dynamic Current Sensor for Hard-to-Detect Faults in Mixed-Signal Ics (YL, RM, MM, SB), pp. 205–211.
DATEDATE-2002-LeeCDGM #algorithm #detection #fault #multi #testing
A New ATPG Algorithm to Limit Test Set Size and Achieve Multiple Detections of All Faults (SL, BC, JD, MRG, MRM), pp. 94–99.
DATEDATE-2002-Leveugle #automation #detection #fault
Automatic Modifications of High Level VHDL Descriptions for Fault Detection or Tolerance (RL), pp. 837–841.
DATEDATE-2002-LuchettaMP #comparison #fault
Critical Comparison among Some Analog Fault Diagnosis Procedures Based on Symbolic Techniques (AL, SM, MCP), p. 1105.
DATEDATE-2002-PadmanabanT #fault #multi
Exact Grading of Multiple Path Delay Faults (SP, ST), pp. 84–88.
DATEDATE-2002-PomeranzR #fault #multi #set #using
Test Enrichment for Path Delay Faults Using Multiple Sets of Target Faults (IP, SMR), pp. 722–729.
DATEDATE-2002-PomeranzRR #debugging #fault
Finding a Common Fault Response for Diagnosis during Silicon Debug (IP, JR, SMR), p. 1116.
DATEDATE-2002-PomeranzZ #fault #testing #using
Fault Isolation Using Tests for Non-Isolated Blocks (IP, YZ), p. 1123.
DATEDATE-2002-VenerisLAA #fault #incremental #multi
Incremental Diagnosis and Correction of Multiple Faults and Errors (AGV, JBL, MA, MSA), pp. 716–721.
DATEDATE-2002-WilsonRZBK #behaviour #fault #modelling #using
Behavioural Modelling of Operational Amplifier Faults Using VHDL-AMS (PRW, JNR, MZ, ADB, YK), p. 1133.
CSMRCSMR-2002-YuSM #case study #industrial #metric #object-oriented #predict #using
Predicting Fault-Proneness using OO Metrics: An Industrial Case Study (PY, TS, HAM), pp. 99–107.
ICSMEICSM-2002-Schneidewind #detection #fault
An Integrated Failure Detection and Fault Correction Model (NFS), pp. 238–241.
SCAMSCAM-2002-Ghosh #concurrent #fault #metric #object-oriented #source code #testing #towards #using
Towards Measurement of Testability of Concurrent Object-Oriented Programs Using Fault Insertion: A Preliminary Investigation (SG), pp. 17–25.
SEKESEKE-2002-DenaroMP #modelling
Deriving models of software fault-proneness (GD, SM, MP), pp. 361–368.
SACSAC-2002-Al-SadiDO #algorithm #fault tolerance #probability
Probability vectors: a new fault-tolerant routing algorithm for k-ary n-cubes (JAS, KD, MOK), pp. 830–834.
SACSAC-2002-Moreau #fault tolerance #mobile #pointer
A fault-tolerant directory service for mobile agents based on forwarding pointers (LM), pp. 93–100.
ICSEICSE-2002-DenaroP #empirical #evaluation #modelling
An empirical evaluation of fault-proneness models (GD, MP), pp. 241–251.
ICSEICSE-2002-JonesHS #fault #locality #visualisation
Visualization of test information to assist fault localization (JAJ, MJH, JTS), pp. 467–477.
ISSTAISSTA-2002-BriandLS #analysis #contract #fault #object-oriented
Investigating the use of analysis contracts to support fault isolation in object oriented code (LCB, YL, HS), pp. 70–80.
ISSTAISSTA-2002-OstrandW #fault #industrial #scalability
The distirubtion of faults in a large industrial software system (TJO, EJW), pp. 55–64.
ICTSSTestCom-2002-BorodayPGQ #fault #generative #specification #testing
Test Generation for CEFSM Combining Specification and Fault Coverage (SB, AP, RG, YMQ), pp. 355–372.
ICTSSTestCom-2002-CavalliPY #communication #component #detection #fault
Fault Detection within a Component of a System of Communicating FSMs (ARC, SP, NY), p. 317–?.
WICSAWICSA-2001-Sotirovski #architecture #fault tolerance #towards
Towards Fault-tolerant Software Architectures (DMS), pp. 7–13.
DACDAC-2001-KarriWMK #concurrent #detection #fault #symmetry
Concurrent Error Detection of Fault-Based Side-Channel Cryptanalysis of 128-Bit Symmetric Block Ciphers (RK, KW, PM, YK), pp. 579–585.
DACDAC-2001-RaahemifarA #detection #fault
Fault Characterizations and Design-for-Testability Technique for Detecting IDDQ Faults in CMOS/BiCMOS Circuits (KR, MA), pp. 313–316.
DATEDATE-2001-BensoCNP #analysis #distributed #fault #injection #open source
SEU effect analysis in an open-source router via a distributed fault injection environment (AB, SDC, GDN, PP), pp. 219–225.
DATEDATE-2001-LiW #fault #memory management
Memory fault diagnosis by syndrome compression (JFL, CWW), pp. 97–101.
DATEDATE-2001-PomeranzR01a #detection #effectiveness #fault #generative #testing
Definitions of the numbers of detections of target faults and their effectiveness in guiding test generation for high defect coverage (IP, SMR), pp. 504–508.
DATEDATE-2001-QuasemG #fault #simulation
Exact fault simulation for systems on Silicon that protects each core’s intellectual property (MSQ, SKG), p. 804.
DATEDATE-2001-RoussellePBMV #embedded #fault
A register-transfer-level fault simulator for permanent and transient faults in embedded processors (CR, MP, AB, TM, HTV), p. 811.
DATEDATE-2001-ZolfyMN #adaptation #concurrent #fault #simulation
Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation (MZ, SM, ZN), p. 823.
CSMRCSMR-2001-Evanco #fault #modelling #predict
Prediction Models for Software Fault Correction Effort (WME), pp. 114–120.
CSMRCSMR-2001-FioravantiN #case study #detection #object-oriented
A Study on Fault-Proneness Detection of Object-Oriented Systems (FF, PN), pp. 121–130.
AdaEuropeAdaEurope-2001-ChenL #detection #effectiveness #empirical #evaluation #fault #reduction #testing
Test Suite Reduction and Fault Detecting Effectiveness: An Empirical Evaluation (TYC, MFL), pp. 253–265.
TOOLSTOOLS-USA-2001-Ghosh #distributed #fault #injection #object-oriented #testing
Fault Injection Testing for Distributed Object Systems (SG), pp. 276–285.
RERE-2001-GobboM #case study #fault tolerance #re-engineering #requirements #specification
Re-Engineering Fault Tolerance Requirements: A Case Study in Specifying Fault Tolerant Flight Control Systems (DDG, AM), pp. 236–247.
SACSAC-2001-HwangR #algorithm #detection #fault
Algorithms to detect chained-inference faults in information distribution systems (YPH, DCR), pp. 679–685.
ICSEICSE-2001-ElbaumMR #fault #testing
Incorporating Varying Test Costs and Fault Severities into Test Case Prioritization (SGE, AGM, GR), pp. 329–338.
SOSPSOSP-2001-RodriguesCL #abstraction #fault tolerance #named #using
BASE: Using Abstraction to Improve Fault Tolerance (RR, MC, BL), pp. 15–28.
DACDAC-2000-BayraktarogluO #fault
Improved fault diagnosis in scan-based BIST via superposition (IB, AO), pp. 55–58.
DACDAC-2000-DwarakanathB #fault #simulation #tuple #using
Universal fault simulation using fault tuples (KND, RDB), pp. 786–789.
DACDAC-2000-KrishnaswamyCT #fault #simulation
A switch level fault simulation environment (VK, JC, TT), pp. 780–785.
DACDAC-2000-PomeranzR #fault #on the
On diagnosis of pattern-dependent delay faults (IP, SMR), pp. 59–62.
DATEDATE-2000-AnghelN #detection #evaluation #fault #reduction
Cost Reduction and Evaluation of a Temporary Faults Detecting Technique (LA, MN), pp. 591–598.
DATEDATE-2000-CarlettaPN #analysis #detection #fault #using
Detecting Undetectable Controller Faults Using Power Analysis (JC, CAP, MN), pp. 723–728.
DATEDATE-2000-DalpassoBBF #design #distributed #fault #simulation
Virtual Fault Simulation of Distributed IP-Based Designs (MD, AB, LB, MF), pp. 99–103.
DATEDATE-2000-GoodbyO #fault #quality
Test Quality and Fault Risk in Digital Filter Datapath BIST (LG, AO), pp. 468–475.
DATEDATE-2000-KimWSS #fault #incremental #on the #satisfiability #testing
On Applying Incremental Satisfiability to Delay Fault Testing (JK, JW, KAS, JPMS), pp. 380–384.
DATEDATE-2000-Rosing #fault #simulation
A Fault Simulation Methodology for MEMS (RR), pp. 476–483.
DATEDATE-2000-SaabHK #fault #generative #parametricity #simulation
Parametric Fault Simulation and Test Vector Generation (KS, NBH, BK), pp. 650–656.
DATEDATE-2000-TsiatouhasHAN #fault #self #testing
A Versatile Built-In Self-Test Scheme for Delay Fault Testing (YT, TH, AA, DN), p. 756.
DATEDATE-2000-WegenerK #modelling #testing
Incorporation of Hard-Fault-Coverage in Model-Based Testing of Mixed-Signal ICs (CW, MPK), p. 765.
FASEFASE-2000-DondossolaB #fault tolerance #formal method #specification
System Fault Tolerance Specification: Proposal of a Method Combining Semi-formal and Formal Approaches (GD, OB), pp. 82–96.
CSMRCSMR-2000-Oinas #case study #fault #metric
Defining Goal-driven Fault Management Metrics in a Real World Environment: A Case-Study from Nokia (AO), pp. 101–108.
STOCSTOC-2000-DamMMS #fault tolerance #quantum #self #set
Self-testing of universal and fault-tolerant sets of quantum gates (WvD, FM, MM, MS), pp. 688–696.
ICEISICEIS-2000-ArribiC #architecture #distributed #network #self
A Distributed Agents Architecture for Self-Fault Management in Corporative Networks (JA, VC), pp. 385–389.
TOOLSTOOLS-USA-2000-PolzeSM #automation #fault tolerance #generative
Automatic Generation of Fault-Tolerant CORBA-Services (AP, JS, MM), p. 205–?.
ICSEICSE-2000-Denaro #process #testing
Estimating software fault-proneness for tuning testing activities (GD), pp. 704–706.
ICSEICSE-2000-LittlewoodS00a #assessment #design #fault #fault tolerance #reliability #tutorial
Fault tolerance via diversity against design faults (tutorial session): design principles and reliability assessment (BL, LS), p. 835.
ASPLOSASPLOS-2000-SundaramoorthyPR #fault tolerance #performance
Slipstream Processors: Improving both Performance and Fault Tolerance (KS, ZP, ER), pp. 257–268.
LCTESLCTES-2000-JeonKHK #corba #embedded #fault tolerance
A Fault Tolerance Extension to the Embedded CORBA for the CAN Bus Systems (GJ, THK, SH, SK), pp. 114–133.
OSDIOSDI-2000-CastroL #fault tolerance
Proactive Recovery in a Byzantine-Fault-Tolerant System (MC, BL), pp. 273–288.
ISSTAISSTA-2000-WoodwardA #fault #testing
Testability, fault size and the domain-to-range ratio: An eternal triangle (MRW, ZAAK), pp. 168–172.
ICTSSTestCom-2000-CavalliPY #communication #detection #fault #power of #testing
Fault Detection Power of a Widely Used Test Suite for a System of Communicating FSMs (ARC, SP, NY), p. 35–?.
ASEASE-1999-MiliCXA #fault tolerance
Combining Fault Avoidance, Fault Removal and Fault Tolerance: An Integrated Model (AM, BC, TX, RBA), p. 137–?.
DACDAC-1999-HarjaniV #fault #parametricity
Digital Aetection of Analog Parametric Faults in SC Filters (RH, BV), pp. 772–777.
DACDAC-1999-KocanS #configuration management #fault #hardware
Dynamic Fault Diagnosis on Reconfigurable Hardware (FK, DGS), pp. 691–696.
DATEDATE-1999-CherubalC #fault #functional #parametricity #using
Parametric Fault Diagnosis for Analog Systems Using Functional Mapping (SC, AC), p. 195–?.
DATEDATE-1999-CotaCL #adaptation #fault #linear #using
A Method to Diagnose Faults in Linear Analog Circuits using an Adaptive Tester (ÉFC, LC, ML), pp. 184–188.
DATEDATE-1999-LinPR #fault
Full Scan Fault Coverage With Partial Scan (XL, IP, SMR), pp. 468–472.
DATEDATE-1999-NikolosVHT #embedded #fault #testing
Path Delay Fault Testing of ICs with Embedded Intellectual Property Blocks (DN, HTV, TH, YT), pp. 112–116.
DATEDATE-1999-SantosT #fault #simulation #using
Defect-Oriented Mixed-Level Fault Simulation of Digital Systems-on-a-Chip Using HDL (MBS, JPT), p. 549–?.
DATEDATE-1999-TragoudasM #fault #functional #tool support
ATPG Tools for Delay Faults at the Functional Level (ST, MKM), p. 631–?.
DATEDATE-1999-WuGR #approach #fault #performance #reduction
A Fault List Reduction Approach for Efficient Bridge Fault Diagnosis (JW, GSG, EMR), pp. 780–781.
DATEDATE-1999-YangZ #fault #performance #robust #simulation
Fast, Robust DC and Transient Fault Simulation for Nonlinear Analog Circuits (ZRY, MZ), pp. 244–248.
ICSMEICSM-1999-KhoshgoftaarAYJH #experience #fault #legacy #metric #predict
Experience Paper: Preparing Measurements of Legacy Software for Predicting Operational Faults (TMK, EBA, XY, WDJ, JPH), p. 359–?.
AdaEuropeAdaEurope-1999-RogersW #fault tolerance #incremental
An Incremental RecoveryCache Supporting Sotware Fault Tolerance (PR, AJW), pp. 385–396.
AdaEuropeAdaEurope-1999-WolfS #ada #distributed #fault tolerance #replication
Fault Tolerance by Transparent Replication for Distributed Ada 95 (TW, AS), pp. 412–424.
ICEISICEIS-1999-AlexandrovichNC #design #fault tolerance #framework #simulation
The Integrated Framework for Fault-Tolerant System Simulation and Design (AEA, RMN, VOC), p. 771.
TOOLSTOOLS-ASIA-1999-ZhaoCX #fault tolerance #framework #object-oriented
An Object-Oriented Developing Framework of Fault-Tolerance System (XZ, DC, LX), pp. 232–238.
SACSAC-1999-Al-OmariM #fault tolerance #using
Fault-Tolerant Routing in Hypercubes Using Masked Interval Routing Scheme (MAO, MM), pp. 481–485.
SACSAC-1999-CaoD #fault tolerance #multi #network
Fault-Tolerant Routing and Multicasting in Butterfly Networks (FC, DZD), pp. 455–460.
HPDCHPDC-1999-AgbariaF #clustering #fault tolerance #named #source code
Starfish: Fault-Tolerant Dynamic MPI Programs on Clusters of Workstations (AA, RF), pp. 167–176.
HPDCHPDC-1999-Weissman #fault tolerance #grid #question #what
Fault Tolerant Computing on the Grid: What are My Options? (JBW), pp. 351–352.
OSDIOSDI-1999-CastroL #fault tolerance
Practical Byzantine Fault Tolerance (MC, BL), pp. 173–186.
CADECADE-1999-Hickey #distributed #fault tolerance #proving #theorem proving
Fault-Tolerant Distributed Theorem Proving (JH), pp. 227–231.
ICTSSIWTCS-1999-KoufarevaPY #fault #generative #modelling #testing
Test Generation Driven by User-defined Fault Models (IK, AP, NY), pp. 215–236.
DACDAC-1998-HuangCCL #design #fault
Fault-Simulation Based Design Error Diagnosis for Sequential Circuits (SYH, KTC, KCC, JYJL), pp. 632–637.
DATEDATE-1998-BolchiniSS #analysis #concurrent #detection #fault #network
Fault Analysis in Networks with Concurrent Error Detection Properties (CB, FS, DS), pp. 957–958.
DATEDATE-1998-CoppensAR #analysis #fault #modelling
VHDL Modelling and Analysis of Fault Secure Systems (JC, DAK, CR), pp. 148–152.
DATEDATE-1998-LindermeirVG #design #detection #fault #metric #parametricity
Analog Test Design with IDD Measurements for the Detection of Parametric and Catastrophic Faults (WML, TJV, HEG), pp. 822–827.
DATEDATE-1998-MirRVH #analysis #fault
Switch-Level Fault Coverage Analysis for Switched-Capacitor Systems (SM, AR, DV, JLH), pp. 810–814.
DATEDATE-1998-NicolaidisD #design #multi #predict
Design of Fault-Secure Parity-Prediction Booth Multipliers (MN, RdOD), pp. 7–14.
DATEDATE-1998-NouraniP #fault #testing
A Bypass Scheme for Core-Based System Fault Testing (MN, CAP), pp. 979–980.
DATEDATE-1998-PrietoRGPHR #approach #design #fault #layout #predict #testing
An Approach to Realistic Fault Prediction and Layout Design for Testability in Analog Circuits (JAP, AR, IAG, EJP, JLH, AMDR), pp. 905–909.
DATEDATE-1998-RiesgoTTU #estimation #fault #functional #modelling #quality #validation
Quality Estimation of Test Vectors and Functional Validation Procedures Based on Fault and Error Models (TR, YT, EdlT, JU), pp. 955–956.
DATEDATE-1998-TianS #fault #performance #simulation
Efficient DC Fault Simulation of Nonlinear Analog Circuits (MWT, CJRS), pp. 899–904.
DATEDATE-1998-Velasco-MedinaCN #detection #fault #injection #linear #using
Fault Detection for Linear Analog Circuits Using Current Injection (JVM, TC, MN), pp. 987–988.
CSMRCSMR-1998-HongK #empirical #fault #identification
Identifying Fault Prone Modules: An Empirical Study in Telecommunication System (SH, KK), pp. 179–184.
ICSMEICSM-1998-RothermelHOH #detection #empirical #fault #testing
An Empirical Study of the Effects of Minimization on the Fault Detection Capabilities of Test Suites (GR, MJH, JO, CH), pp. 34–43.
STOCSTOC-1998-LevcopoulosNS #algorithm #fault tolerance #geometry #performance
Efficient Algorithms for Constructing Fault-Tolerant Geometric Spanners (CL, GN, MHMS), pp. 186–195.
AdaEuropeAdaEurope-1998-Patino-MartinezJA #ada #fault tolerance #transaction
Integrating Groups and Transactions: A Fault-Tolerant Extension of Ada (MPM, RJP, SA), pp. 78–89.
AdaSIGAda-1998-PinhoV #ada #architecture #fault tolerance #multi #named #realtime
Multi-μ: An Ada 95 Based Architecture for Fault Tolerance Support of Real-Time Systems (LMP, FV), pp. 52–60.
AdaSIGAda-1998-TardieuP #distributed #fault tolerance #multi #using
Building Fault Tolerant Distributed Systems Using IP Multicast (ST, LP), pp. 45–51.
ICPRICPR-1998-KadyrovP #detection #estimation #fault #linear #parametricity
Linear transformation parameter estimation for fault detection (AK, MP), pp. 550–552.
REICRE-1998-SchneiderECH #fault tolerance #model checking #requirements #using #validation
Validating Requirements for Fault Tolerant Systems using Model Checking (FS, SME, JRC, GJH), pp. 4–13.
HPCAHPCA-1998-GhoshC #communication
Communication Across Fault-Containment Firewalls on the SGI Origin (KG, AJC), pp. 277–287.
HPDCHPDC-1998-StellingFKLL #detection #distributed #fault
A Fault Detection Service for Wide Area Distributed Computations (PS, ITF, CK, CAL, GvL), pp. 268–278.
ICTSSIWTCS-1998-PetrenkoUC #concurrent #detection #fault #using
Using Partial-Orders for Detecting Faults in Concurrent Systems (AP, AU, VC), pp. 175–190.
DACDAC-1997-MirROPH #automation #evaluation #fault #named #simulation
SWITTEST: Automatic Switch-Level Fault Simulation and Test Evaluation of Switched-Capacitor Systems (SM, AR, TO, EJP, JLH), pp. 281–286.
DACDAC-1997-NouraniCP #fault #testing
A Scheme for Integrated Controller-Datapath Fault Testing (MN, JC, CAP), pp. 546–551.
DACDAC-1997-PomeranzR #approach #fault #multi #simulation #using
Fault Simulation under the Multiple Observation Time Approach using Backward Implications (IP, SMR), pp. 608–613.
DACDAC-1997-TianS #agile #fault #parametricity #simulation
Rapid Frequency-Domain Analog Fault Simulation Under Parameter Tolerances (MWT, CJRS), pp. 275–280.
DATEEDTC-1997-BensoPRRU #approach #fault #graph #low level
A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs (AB, PP, MR, MSR, RU), pp. 560–565.
DATEEDTC-1997-BlantonH #fault
The input pattern fault model and its application (RDB, JPH), p. 628.
DATEEDTC-1997-DuarteNBZ #design #implementation
Fault-secure shifter design: results and implementations (RdOD, MN, HB, YZ), pp. 335–341.
DATEEDTC-1997-GoorGYM #fault #memory management
March LA: a test for linked memory faults (AJvdG, GG, VNY, VGM), p. 627.
DATEEDTC-1997-NarayananSKLB #fault
A fault diagnosis methodology for the UltraSPARCTM-I microprocessor (SN, RS, RPK, MEL, SBN), pp. 494–500.
ICDARICDAR-1997-WongMX #fault tolerance #recognition
A Chinese Bank Check Recognition System Based on the Fault Tolerant Technique (SW, FM, SX), pp. 1038–1042.
STOCSTOC-1997-AharonovB #constant #fault tolerance #quantum
Fault-Tolerant Quantum Computation With Constant Error (DA, MBO), pp. 176–188.
AdaEuropeAdaEurope-1997-GuerraMAA #ada #distributed #fault tolerance #library
An Ada Library to Program Fault-Tolerant Distributed Applications (FGS, FJMG, AA, SA), pp. 230–243.
AdaTRI-Ada-1997-QuirosGC #approach #distributed #fault tolerance #programming
Programming Distributed Fault Tolerant Systems: The replicAda Approach (PdlHQ, JMGB, JCG), pp. 21–29.
EDOCEDOC-1997-FujisakiHK #distributed #fault tolerance #network #scalability #using
A Scalable Fault-Tolerant Network Management System Built Using Distributed Object Technology (TF, MH, KK), pp. 140–148.
ICMLICML-1997-CohenD #case study #comparative #fault #induction #logic programming #predict
A Comparative Study of Inductive Logic Programming Methods for Software Fault Prediction (WWC, PTD), pp. 66–74.
TOOLSTOOLS-ASIA-1997-Exton #distributed #fault tolerance #interface #specification
Distributed Fault Tolerance Specification through the use of Interface Definitions (CE), pp. 254–259.
SACSAC-1997-GhoshGP #network #protocol
Fault-containing network protocols (SG, AG, SVP), pp. 431–437.
ICSEICSE-1997-ShimaTMT #case study #fault
A Study on the Failure Intensity of Different Software Faults (KS, ST, KiM, KT), pp. 86–94.
DACDAC-1996-BurgunRFBL #fault
Serial Fault Emulation (LB, FR, GF, JB, OL), pp. 801–806.
DACDAC-1996-ChenG #fault #generative #satisfiability
A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts (CAC, SKG), pp. 209–214.
SIGMODSIGMOD-1996-OzdenRSS #architecture #fault tolerance
Fault-tolerant Architectures for Continuous Media Servers (, RR, PJS, AS), pp. 79–90.
TACASTACAS-1996-Holzmann #detection #fault #tool support
Early Fault Detection Tools (GJH), pp. 1–13.
ICSMEICSM-1996-KhoshgoftaarAHT #detection #lifecycle
Detection of Fault-Prone Software Modules During a Spiral Life Cycle (TMK, EBA, RH, GPT), pp. 69–76.
STOCSTOC-1996-Ma #fault tolerance #network #sorting
An O(n log n)-Size Fault-Tolerant Sorting Network (Extended Abstract) (YM), pp. 266–275.
FMFME-1996-SeguinW #approach #category theory #fault tolerance #logic #using #validation
Using a Logical and Categorical Approach for the Validation of Fault-Tolerant Systems (CS, VW), pp. 347–366.
AdaEuropeAdaEurope-1996-GuerraouiS #distributed #fault tolerance #replication
Fault-Tolerance by Replication in Distributed Systems (RG, AS), pp. 38–57.
AdaEuropeAdaEurope-1996-MirandaAAG #ada #distributed #fault tolerance #named
Drago: An Ada Extension to Program Fault-Tolerant Distributed Applications (FJMG, AA, SA, FGS), pp. 235–246.
AdaTRI-Ada-1996-KermarrecNP #ada #distributed #fault tolerance
Providing Fault-Tolerant Services to Distributed Ada 95 Applications (YK, LN, LP), pp. 39–47.
ICPRICPR-1996-FaymanRM #fault tolerance #realtime
Real-time active vision with fault tolerance (JAF, ER, DM), pp. 279–283.
SEKESEKE-1996-BurnsteinMRST #automation #fault #information management #locality #recognition
Knowledge Engineering for Automated Program Recognition and Fault Localization (IB, AM, KR, FS, AT), pp. 85–91.
HPCAHPCA-1996-ChalasaniB #fault tolerance #multi
Fault-Tolerance with Multimodule Routers (SC, RVB), pp. 201–210.
HPCAHPCA-1996-Libeskind-HadasWH #fault tolerance #multi
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels (RLH, KW, TH), pp. 180–190.
ISSTAISSTA-1996-DaranT #analysis #case study #fault
Software Error Analysis: A Real Case Study Involving Real Faults and Mutations (MD, PTF), pp. 158–171.
ISSTAISSTA-1996-DeMilloPS #fault #locality #slicing
Critical Slicing for Software Fault Localization (RAD, HP, EHS), pp. 121–134.
ISSTAISSTA-1996-OffuttH #fault #semantics
A Semantic Model of Program Faults (AJO, JHH), pp. 195–200.
DACDAC-1995-KassabMRT #architecture #fault #functional #simulation
Software Accelerated Functional Fault Simulation for Data-Path Architectures (MK, NM, JR, JT), pp. 333–338.
DACDAC-1995-KonukFL #fault #network #performance #simulation
Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks (HK, FJF, TL), pp. 345–351.
DACDAC-1995-KriegerBK #fault #multi #simulation
Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy (RK, BB, MK), pp. 339–344.
DACDAC-1995-Ribas-XirgoC #analysis #fault #simulation
Analysis of Switch-Level Faults by Symbolic Simulation (LR, JC), pp. 352–357.
DACDAC-1995-SparmannLCR #fault #identification #performance #robust
Fast Identification of Robust Dependent Path Delay Faults (US, DL, KTC, SMR), pp. 119–125.
DACDAC-1995-VenkataramanHFRCP #agile #fault #simulation #using
Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists (SV, IH, WKF, EMR, SC, JHP), pp. 133–138.
SIGMODSIGMOD-1995-BersonGM #design #fault tolerance #multi
Fault Tolerant Design of Multimedia Servers (SB, LG, RRM), pp. 364–375.
SIGMODSIGMOD-1995-Shyy #database #fault tolerance #replication
VERSANT Replication: Supporting Fault-Tolerant Object Databases (YMS, HSAY, CPC), pp. 441–442.
AdaEuropeAdaEurope-1995-DavidPCC #ada #fault tolerance #realtime
Developing Fault Tolerant Software in Ada for Real-Time Dependable Systems (PD, TP, AC, JFC), pp. 21–50.
SEKESEKE-1995-FigueiredoPC #distributed #fault #realtime
Antecipated Faults in Real-Time Distributed Systems (JCAdF, AP, BSKC), pp. 411–418.
SEKESEKE-1995-LanubileLV #component #identification #modelling
Comparing models for identifying fault-prone software components (FL, AL, GV), pp. 312–319.
SEKESEKE-1995-TchounikineC #experience #fault #prototype
Fault diagnosis expert system for robots: a knowledge level prototyping experience (PT, CC), pp. 268–274.
SACSAC-1995-BhattM #distributed #fault tolerance #process
A front-end process for fault tolerant distributed systems (PB, RAM), pp. 411–414.
ICSEICSE-1995-WongHLM #detection #effectiveness #fault #testing
Effect of Test Set Minimization on Fault Detection Effectiveness (WEW, JRH, SL, APM), pp. 41–50.
HPCAHPCA-1995-CunninghamA #2d #adaptation #fault tolerance
Fault-Tolerant Adaptive Routing for Two-Dimensional Meshes (CMC, DRA), pp. 122–131.
HPCAHPCA-1995-HurSFOK #array #design #fault #logic #parallel #simulation
Massively Parallel Array Processor for Logic, Fault, and Design Error Simulation (YH, SAS, ESF, GEO, SK), pp. 340–347.
HPCAHPCA-1995-Libeskind-HadasB #fault tolerance
Origin-Based Fault-Tolerant routing in the Mesh (RLH, EB), pp. 102–111.
HPDCHPDC-1995-BaratlooDK #distributed #fault tolerance #named #novel #parallel
CALYPSO: A Novel Software System for Fault-Tolerant Parallel Processing on Distributed Platforms (AB, PD, ZMK), pp. 122–129.
SOSPSOSP-1995-BressoudS #fault tolerance
Hypervisor-based Fault-tolerance (TCB, FBS), pp. 1–11.
SOSPSOSP-1995-ChapinRDLTG #fault #multi #named
Hive: Fault Containment for Shared-Memory Multiprocessors (JC, MR, SD, TL, DT, AG), pp. 12–25.
DACDAC-1994-ChengC #fault #generative #quality #testing
Generation of High Quality Non-Robust Tests for Path Delay Faults (KTC, HCC), pp. 365–369.
DACDAC-1994-HenftlingWA #fault #simulation
Path Hashing to Accelerate Delay Fault Simulation (MH, HCW, KA), pp. 522–526.
DACDAC-1994-HeraguBA #fault #performance
An Efficient Path Delay Fault Coverage Estimator (KH, MLB, VDA), pp. 516–521.
DACDAC-1994-KarriO #architecture #detection #fault #self #synthesis
Area-Efficient Fault Detection During Self-Recovering Microarchitecture Synthesis (RK, AO), pp. 552–556.
DACDAC-1994-NagumoNNMM #fault #named #reduction #using
VFSIM: Vectorized Fault Simulator Using a Reduction Technique Excluding Temporarily Unobservable Faults (TN, MN, TN, MM, SM), pp. 510–515.
DACDAC-1994-PomeranzR #combinator #fault #scalability #using
Design-for-Testability for Path Delay Faults in Large Combinatorial Circuits Using Test-Points (IP, SMR), pp. 358–364.
DACDAC-1994-PomeranzR94a #fault #on the
On Improving Fault Diagnosis for Synchronous Sequential Circuits (IP, SMR), pp. 504–509.
DACDAC-1994-VinnakotaA #fault #functional #generative #testing
Functional Test Generation for FSMs by Fault Extraction (BV, JA), pp. 712–715.
DATEEDAC-1994-AhmedCC #approach #fault #modelling #optimisation #using
A Model-based Approach to Analog Fault Diagnosis using Techniques from Optimisation (SA, PYKC, PC), p. 665.
DATEEDAC-1994-ChessL #fault #generative
Generating Test Patterns for Bridge Faults in CMOS ICs (BC, TL), pp. 165–170.
DATEEDAC-1994-DumasGLP #effectiveness #fault
Effectiveness of a Variable Sampling Time Strategy for Delay Fault Diagnosis (DD, PG, CL, SP), pp. 518–523.
DATEEDAC-1994-FavalliDOR #fault #modelling
Modeling of Broken Connections Faults in CMOS ICs (MF, MD, PO, BR), pp. 159–164.
DATEEDAC-1994-FummiSS #approach #fault #functional #generative #testing
A Functional Approach to Delay Faults Test Generation for Sequential Circuits (FF, DS, MS), pp. 51–57.
DATEEDAC-1994-GaiMR #fault #named #performance
TORSIM: An Efficient Fault Simulator for Synchronous Sequential Circuits (SG, PLM, MSR), pp. 46–50.
DATEEDAC-1994-HahnKB #approach #fault
A Hierarchical Approach to Fault Collapsing (RH, RK, BB), pp. 171–176.
DATEEDAC-1994-IsernF #fault
Test of Bridging Faults in Scan-based Sequential Circuits (EI, JF), pp. 366–370.
DATEEDAC-1994-KunzmannB #fault
Gate-Delay Fault Test with Conventional Scan-Design (AK, FB), pp. 524–528.
DATEEDAC-1994-LinCL #fault #named #performance
TRANS: A Fast and Memory-Efficient Path Delay Fault Simulator (MCL, JEC, CLL), pp. 508–512.
DATEEDAC-1994-LiW #automaton #fault #logic #simulation
Logic and Fault Simulation by Cellular Automata (YLL, CWW), pp. 552–556.
DATEEDAC-1994-SousaGTW #fault #modelling
Fault Modeling and Defect Level Projections in Digital ICs (JTdS, FMG, JPT, TWW), pp. 436–442.
DATEEDAC-1994-VermeirenSE #fault #simulation
A Suggestion for Accelerating the Analog Fault Simulation (WV, BS, GE), p. 662.
DATEEDAC-1994-VuksicF #approach #fault #testing
A New BIST Approach for Delay Fault Testing (AV, KF), pp. 284–288.
DATEEDAC-1994-WuLCL #clustering #distributed #fault #simulation
Distributed Fault Simulation for Sequential Circuits by Pattern Partitioning (WCW, CLL, JEC, WYL), p. 661.
DATEEDAC-1994-XueDJ #analysis #fault #float #probability
Probability Analysis for CMOS Floating Gate Faults (HX, CD, JAGJ), pp. 443–448.
DATEEDAC-1994-ZemvaBKZ #fault
A Functionality Fault Model: Feasibility and Applications (AZ, FB, KK, BZ), pp. 152–158.
ICSMEICSM-1994-LanningK #canonical #complexity #fault #modelling #process
Canonical Modeling of Software Complexity and Fault Correction Activity (DLL, TMK), pp. 374–381.
STOCSTOC-1994-KalyanasundaramP #fault tolerance #scheduling
Fault-tolerant scheduling (BK, KP), pp. 115–124.
STOCSTOC-1994-KarlinNT #fault tolerance #on the
On the fault tolerance of the butterfly (ARK, GN, HT), pp. 125–133.
ICALPICALP-1994-AumannBF #bound #cost analysis #fault #on the
On the Cost of Recomputing: Tight Bounds on Pebbling with Faults (YA, JBI, UF), pp. 47–58.
ICGTTAGT-1994-DerkD #configuration management #fault tolerance #graph grammar #parallel
Reconfiguration Graph Grammar for Massively Parallel, Fault Tolerant Computers (MDD, LSD), pp. 185–195.
AdaEuropeAdaEurope-1994-RogersP #ada #distributed #fault tolerance
The AECSS Fault Tolerant Distributed Ada Testbed and Application (PR, MP), pp. 43–59.
AdaTRI-Ada-1994-BrelandRBN #ada #distributed #fault tolerance
Transparent Fault Tolerance for Distributed Ada Applications (MAB, SAR, GPB, KLN), pp. 446–457.
HPDCHPDC-1994-ChiuC #distributed #fault tolerance #performance
Process-Replication Technique for Fault Tolerance and Performance Improvement in Distributed Computing Systems (JFC, GMC), pp. 236–243.
HPDCHPDC-1994-PramanickP #distributed #evaluation #fault #problem #quality
Distributed Solutions to the Delay Fault Test Quality Evaluation Problem (IP, AKP), pp. 177–185.
DACDAC-1993-ChakrabortyAB #design #fault #testing
Design for Testability for Path Delay faults in Sequential Circuits (TJC, VDA, MLB), pp. 453–457.
DACDAC-1993-ChakravartyG #algorithm #fault
An Algorithm for Diagnosing Two-Line Bridging Faults in Combinational Circuits (SC, YG), pp. 520–524.
DACDAC-1993-ChessL #fault #simulation
Bridge Fault simulation strategies for CMOS integrated Circuits (BC, TL), pp. 458–462.
DACDAC-1993-KajiharaPKR #effectiveness #fault #generative #logic #testing
Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits (SK, IP, KK, SMR), pp. 102–106.
DACDAC-1993-KarriO #architecture #synthesis
High-Level Synthesis of Fault-Secure Microarchitectures (RK, AO), pp. 429–433.
DACDAC-1993-LamSBS #fault #performance #trade-off
Delay Fault Coverage and Performance Tradeoffs (WKCL, AS, RKB, ALSV), pp. 446–452.
DACDAC-1993-Leveugle #fault tolerance
Optimized State Assignment of single fault Tolerant FSMs Based on SEC Codes (RL), pp. 14–18.
DACDAC-1993-MeyerC #fault #multi #performance #simulation
Fast Hierarchical Multi-Level Fault Simulation of Sequential Circuits with Switch-Level Accuracy (WM, RC), pp. 515–519.
DACDAC-1993-NagiCA #fault #named
DRAFTS: Discretized Analog Circuit Fault Simulator (NN, AC, JAA), pp. 509–514.
DACDAC-1993-PomeranzRU #fault #generative #named #testing
NEST: A Non-Enumerative Test Generation Method for Path Delay Faults in Combinational Circuits (IP, SMR, PU), pp. 439–445.
STOCSTOC-1993-ColeMS #array #configuration management #fault #multi #self
Multi-scale self-simulation: a technique for reconfiguring arrays with faults (RC, BMM, RKS), pp. 561–572.
ICALPICALP-1993-Hemachandra #complexity #fault tolerance
Fault-Tolerance and Complexity (Extended Abstract) (LAH), pp. 189–202.
FMFME-1993-Butterfield #fault tolerance #towards
A VDM Study of Fault-Tolerant Stable Storage — Towards a Computer Engineering Mathematics (AB), pp. 216–234.
FMFME-1993-CauR #fault tolerance #refinement #using
Using Relative Refinement for Fault Tolerance (AC, WPdR), pp. 19–41.
FMFME-1993-OwreRSH #architecture #fault tolerance #lessons learnt #verification
Formal Verification for Fault-Tolerant Architectures: Some Lessons Learned (SO, JMR, NS, FWvH), pp. 482–500.
HCIHCI-ACS-1993-BrinkmanS #analysis #fault
Analysis and Support of Fault Diagnosis Strategies (JAB, TWvdS), pp. 115–120.
HCIHCI-ACS-1993-SonntagS #fault #maintenance #optimisation #process
Strategies and Training for Maintenance Personnel: Optimizing Fault Diagnosis Activities (KS, NS), pp. 90–95.
AdaTRI-Ada-1993-RogersOPL #ada #distributed #fault tolerance
Demonstrable Fault Tolerance for Distributed Ada (PR, SO, MP, KL), pp. 180–188.
SEKESEKE-1993-ChangPFYE #design #distributed #fault tolerance #information management #object-oriented #realtime
The Design of Real-Time Distributed information Systems with Object-Oriented and Fault-Tolerant Characteristics (SKC, AP, JCAdF, BY, WDE), pp. 36–45.
SEKESEKE-1993-ChenV #fault #information management #problem
Knowledge Engineering in Fault Diagnostic Problem Solving (LC, PV), pp. 255–257.
OOPSLAOOPSLA-1993-HoskingM #evaluation #fault #performance #persistent #programming language
Object Fault Handling for Persistent Programming Languages: A Performance Evaluation (ALH, JEBM), pp. 288–303.
SACSAC-1993-ClematisG #fault tolerance #source code
A Hierarchical Structure for Fault Tolerant Reactive Programs (AC, VG), pp. 208–214.
SACSAC-1993-PattersonTH #distributed #fault tolerance
Construction of a Fault-Tolerant Distributed Tuple-Space (LIP, RST, RMH), pp. 279–285.
ESECESEC-1993-PerryS #case study #evolution #fault #realtime #scalability
Software Faults in Evolving a Large, Real-Time System: a Case Study (DEP, CSS), pp. 48–67.
ICSEICSE-1993-FranklW #comparison #data flow #detection #testing
An Analytical Comparison of the Fault-Detecting Ability of Data Flow Testing Techniques (PGF, EJW), pp. 415–424.
SOSPSOSP-1993-WahbeLAG #fault #performance
Efficient Software-Based Fault Isolation (RW, SL, TEA, SLG), pp. 203–216.
CAVCAV-1993-LincolnR #algorithm #consistency #fault #hybrid #interactive #verification
The Formal Verification of an Algorithm for Interactive Consistency under a Hybrid Fault Model (PL, JMR), pp. 292–304.
ICLPICLP-1993-CorsiniR #analysis #fault #safety
Safety Analysis by Means of Fault Trees: An Application for Open Boolean Solvers (MMC, AR), p. 834.
ISSTAISSTA-1993-HamletV #fault #reliability #testing
Faults on Its Sleeve: Amplifying Software Reliability Testing (RGH, JMV), pp. 89–98.
ISSTAISSTA-1993-ThompsonRC #data flow #detection #fault
An Information Flow Model of Fault Detection (MCT, DJR, LAC), pp. 182–192.
ICTSSIWPTS-1993-MottelerCS #fault #protocol #testing
Fault Coverage of UIO-based Methods for Protocol Testing (HEM, AC, DPS), pp. 21–34.
DACDAC-1992-BhattacharyaAA #fault #generative #testing #using
Delay Fault Test Generation for Scan/Hold Circuits Using Boolean Expressions (DB, PA, VDA), pp. 159–164.
DACDAC-1992-BoseA #concurrent #fault #logic #memory management #message passing #multi #simulation
Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers (SB, PA), pp. 332–335.
DACDAC-1992-ChakrabortyAB #fault #generative #logic #modelling #random #testing
Delay Fault Models and Test Generation for Random Logic Sequential Circuits (TJC, VDA, MLB), pp. 165–172.
DACDAC-1992-ChakradharKA #fault tolerance #finite #state machine #synthesis
Finite State Machine Synthesis with Fault Tolerant Test Function (STC, SK, VDA), pp. 562–567.
DACDAC-1992-ChakravartyL #algorithm #fault #monitoring
Algorithms for Current Monitor Based Diagnosis of Bridging and Leakage Faults (SC, ML), pp. 353–356.
DACDAC-1992-GirardLP #approach #novel
A Novel Approach to Delay-Fault Diagnosis (PG, CL, SP), pp. 357–360.
DACDAC-1992-KarriO #fault tolerance #synthesis
Transformation-Based High-Level Synthesis of Fault-Tolerant ASICs (RK, AO), pp. 662–665.
DACDAC-1992-LeeH #fault #named #parallel #performance
HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits (HKL, DSH), pp. 336–340.
DACDAC-1992-LeeR92a #concurrent #fault #on the #performance #simulation
On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits (DHL, SMR), pp. 327–331.
DACDAC-1992-MajumdarS #fault #on the #random testing #testing
On the Distribution of Fault Coverage and Test length in Random Testing of Combinational Circuits (AM, SS), pp. 341–346.
DACDAC-1992-SaldanhaBS #equivalence #generative #robust #testing
Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation (AS, RKB, ALSV), pp. 173–176.
PODSPODS-1992-RabinovichL #commit #database #fault tolerance #protocol
A Fault-Tolerant Commit Protocol for Replicated Databases (MR, EDL), pp. 139–148.
SIGMODSIGMOD-1992-RabinovichL #fault tolerance #protocol
Improving Fault Tolerance and Supporting Partial Writes in Structured Coterie Protocols for Replicated Objects (MR, EDL), pp. 226–235.
VLDBVLDB-1992-WhiteD #performance #pointer
A Performance Study of Alternative Object Faulting and Pointer Swizzling Strategies (SJW, DJD), pp. 419–431.
STOCSTOC-1992-ChoyS #algorithm #distributed #fault tolerance #performance #resource management
Efficient Fault Tolerant Algorithms for Resource Allocation in Distributed Systems (MC, AKS), pp. 593–602.
STOCSTOC-1992-Lin #communication #fault tolerance #network
Fault Tolerant Planar Communication Networks (GL), pp. 133–139.
AdaTRI-Ada-C-1992-CramerD #ada #fault tolerance
The Use of Ada to Achieve Fault Tolerance in AAS (RSC, JDD), pp. 545–552.
ICMLML-1992-SmythM #detection #fault #novel
Detecting Novel Classes with Applications to Fault Diagnosis (PS, JM), pp. 416–425.
ICMLML-1992-TangkitvanichS #concept #fault #multi #relational
Refining a Relational Theory with Multiple Faults in the Concept and Subconcepts (ST, MS), pp. 436–444.
TOOLSTOOLS-EUROPE-1992-RomanovskySV #design #fault tolerance #object-oriented #programming
Designing Fault-Tolerant Objects in Object-Oriented Programming (ABR, IVS, VRV), pp. 199–205.
ICSEICSE-1992-EickLLVW #fault
Estimating Software Fault Content Cefore Coding (SGE, CRL, MDL, LGV, SAVW), pp. 59–65.
ISMMIWMM-1992-PlainfosseS #distributed #experience #fault tolerance #garbage collection
Experience with a Fault-Tolerant Garbage Collector in a Distributed List System (DP, MS), pp. 116–133.
ICTSSIWPTS-1992-GhedamsiDB #fault #finite #nondeterminism #state machine #testing
Diagnostic Tests for Single Transition Faults in Non-Deterministic Finite State Machines (AG, RD, GvB), pp. 105–116.
DACDAC-1991-ChengDK #design #generative #robust #standard #synthesis #testing
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology (KTC, SD, KK), pp. 80–86.
DACDAC-1991-Kitamura #algorithm #fault #simulation
Sequential Circuit Fault Simulation by Fault Information Tracing Algorithm: FIT (YK), pp. 151–154.
DACDAC-1991-MaoC #design #fault
Correlation-Reduced Scan-path Design To Improve Delay Fault Coverage (WM, MDC), pp. 73–79.
DACDAC-1991-MontessoroG #concurrent #fault #multi #named #performance #simulation
Creator: General and Efficient Multilevel Concurrent Fault Simulation (PLM, SG), pp. 160–163.
DACDAC-1991-PitchumaniMR #fault #simulation
A System for Fault Diagnosis and Simulation of VHDL Descriptions (VP, PM, NR), pp. 144–150.
DACDAC-1991-PomeranzR #fault #on the #using
On Achieving a Complete Fault Coverage for Sequential Machines Using the Transition Fault Model (IP, SMR), pp. 341–346.
DACDAC-1991-VandrisS #algorithm #fault #memory management #performance #simulation
Algorithms for Fast, Memory Efficient Switch-Level Fault Simulation (EV, GES), pp. 138–143.
DACDAC-1991-WuL #fault #probability #testing
A Probabilistic Testability Measure for Delay Faults (WCW, CLL), pp. 440–445.
VLDBVLDB-1991-SullivanS #data type #database #fault tolerance #using
Using Write Protected Data Structures To Improve Software Fault Tolerance in Highly Available Database Management Systems (MS, MS), pp. 171–180.
ICMLML-1991-Feng #fault
Inducing Temporal Fault Diagnostic Rules from a Qualitative Model (CF), pp. 403–406.
CAVCAV-1991-BarbeauB #approach #fault #petri net #using #verification
The Lotos Model of a Fault Protected System and its Verification Using a Petri Net Based Approach (MB, GvB), pp. 103–113.
ICTSSIWPTS-1991-BochmannDDDGL #fault #modelling #testing
Fault Models in Testing (GvB, AD, RD, MD, AG, GL), pp. 17–30.
ICTSSIWPTS-1991-FujiwaraB #fault #nondeterminism #state machine #testing
Testing Non-Deterministic State Machines with Fault Coverage (SF, GvB), pp. 267–280.
DACDAC-1990-ButlerM #design #fault #performance
The Influences of Fault Type and Topology on Fault Model Performance and the Implications to Test and Testable Design (KMB, MRM), pp. 673–678.
DACDAC-1990-DevadasK #logic #optimisation #robust #synthesis
Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits (SD, KK), pp. 221–227.
DACDAC-1990-HwangLSW #fault #parallel
A Parallel Pattern Mixed-Level Fault Simulator (TSH, CLL, WZS, CPW), pp. 716–719.
DACDAC-1990-KuoLW #analysis #fault
A Fault Analysis Method for Synchronous Sequential Circuits (TYK, JYL, JFW), pp. 732–735.
DACDAC-1990-LeeH #automation #fault #generative #named #performance
SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits (HKL, DSH), pp. 660–666.
DACDAC-1990-MaoC #fault #testing
A Variable Observation Time Method for Testing Delay Faults (WM, MDC), pp. 728–731.
DACDAC-1990-NiermannCP #fault #memory management #named #performance #proving
Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator (TMN, WTC, JHP), pp. 535–540.
DACDAC-1990-WardA #behaviour #fault #simulation
Behavioral Fault Simulation in VHDL (PCW, JRA), pp. 587–593.
DACDAC-1989-ChengY #difference #fault #memory management #performance #simulation #using
Differential Fault Simulation — a Fast Method Using Minimal Memory (WTC, MLY), pp. 424–428.
DACDAC-1989-ChoB #fault #generative #simulation
Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation (KC, REB), pp. 418–423.
DACDAC-1989-GloverM #approach #fault #testing
A Deterministic Approach to Adjacency Testing for Delay Faults (CTG, MRM), pp. 351–356.
DACDAC-1989-LeeHK #fault #generative #testing #using
Test Generation of Stuck-open Faults Using Stuck-at Test Sets in CMOS Combinational Circuits (HKL, DSH, KK), pp. 345–350.
DACDAC-1989-MaoC #fault #testing
A Simplified Six-waveform Type Method for Delay Fault Testing (WM, MDC), pp. 730–733.
DACDAC-1989-NarayananP #algorithm #fault #parallel #simulation
A Massively Parallel Algorithm for Fault Simulation on the Connection Machine (VN, VP), pp. 734–737.
DACDAC-1989-RajsumanJM #detection #fault #using
CMOS Stuck-open Fault Detection Using Single Test Patterns (RR, APJ, YKM), pp. 714–717.
DACDAC-1989-SchulzFF #fault #parallel #simulation
Parallel Pattern Fault Simulation of Path Delay Faults (MHS, FF, KF), pp. 357–363.
DACDAC-1989-WangKL #approach #fault #logic #robust #set
A New Approach to Derive Robust Sets for Stuck-open Faults in CMOS Combinational Logic Circuits (JFW, TYK, JYL), pp. 726–729.
ICSEICSE-1989-YoungT #detection #fault #taxonomy
Rethinking the Taxonomy of Fault Detection Techniques (MY, RNT), pp. 53–62.
SOSPSOSP-1989-GrayC #consistency #distributed #fault tolerance #named #performance
Leases: An Efficient Fault-Tolerant Mechanism for Distributed File Cache Consistency (CGG, DRC), pp. 202–210.
DACDAC-1988-ChaoG #fault #modelling
Micro-operation Perturbations in Chip Level Fault Modeling (CHC, FGG), pp. 579–582.
DACDAC-1988-DubaRAR #distributed #fault #simulation
Fault Simulation in a Distributed Environment (PAD, RKR, JAA, WAR), pp. 686–691.
DACDAC-1988-GaiMS #algorithm #concurrent #fault #performance #simulation
The Performance of the Concurrent Fault Simulation Algorithms in MOZART (SG, PLM, FS), pp. 692–697.
DACDAC-1988-GloverM #fault #generative #testing
A Method of Delay Fault Test Generation (CTG, MRM), pp. 90–95.
DACDAC-1988-HarelK #approach #fault #graph #simulation
A Graph Compaction Approach to Fault Simulation (DH, BK), pp. 601–604.
DACDAC-1988-HillAHS #algorithm #fault #simulation
A New Two Task Algorithm for Clock Mode Fault Simulation in Sequential Circuits (FJH, EA, WKH, GQS), pp. 583–586.
DACDAC-1988-MotoharaMUMS #approach #fault #performance #simulation
An Approach to Fast Hierarchical Fault Simulation (AM, MM, MU, YM, MS), pp. 698–703.
STOCSTOC-1988-Ben-OrGW #distributed #fault tolerance #theorem
Completeness Theorems for Non-Cryptographic Fault-Tolerant Distributed Computation (Extended Abstract) (MBO, SG, AW), pp. 1–10.
STOCSTOC-1988-BermanS #fault tolerance #network
Investigations of Fault-Tolerant Networks of Computers (Preliminary Version) (PB, JS), pp. 66–77.
ICSEICSE-1988-ChaLS #analysis #fault #safety #using #verification
Safety Verification in Murphy Using Fault Tree Analysis (SSC, NGL, TJS), pp. 377–387.
DACDAC-1987-Koeppe #fault #layout
Optimal Layout to Avoid CMOS Stuck-Open Faults (SK), pp. 829–835.
DACDAC-1987-Maly #fault #modelling #testing
Realistic Fault Modeling for VLSI Testing (WM), pp. 173–180.
DACDAC-1987-RajsumanMJ #fault #modelling #on the
On Accuracy of Switch-Level Modeling of Bridging Faults in Complex Gates (RR, YKM, APJ), pp. 244–250.
DACDAC-1987-SchultzB #fault #simulation
Accelerated Transition Fault Simulation (MHS, FB), pp. 237–243.
STOCSTOC-1987-HastadLN #configuration management #fault
Reconfiguring a Hypercube in the Presence of Faults (Extended Abstract) (JH, FTL, MN), pp. 274–284.
HCIHCI-CE-1987-YoonH #fault
A Deep-Reasoning Aid for Deep-Reasoning Fault Diagnosis (WCY, JMH), pp. 297–304.
DACDAC-1986-BarzilaiBHIS #analysis #fault #named #performance #verification
SLS — a fast switch level simulator for verification and fault coverage analysis (ZB, DKB, LMH, VSI, GMS), pp. 164–170.
DACDAC-1986-Daehn #difference #fault
A unified treatment of PLA faults by Boolean differences (WD), pp. 334–338.
DACDAC-1986-Ghosh #approach #fault #functional #rule-based #simulation #verification
A rule-based approach to unifying functional and fault simulation and timing verification (SG), pp. 677–682.
DACDAC-1986-MaS #estimation #fault
Mixed-level fault coverage estimation (HKTM, ALSV), pp. 553–559.
DACDAC-1986-Tryon #correlation #fault #self
Self-testing with correlated faults (DRT), pp. 374–377.
DACDAC-1986-WeiweiX #algorithm #fault #generative #robust #testing
Robust test generation algorithm for stuck-open fault in CMOS circuits (WM, XL), pp. 236–242.
DACDAC-1986-WunderlichR #fault #modelling #on the
On fault modeling for dynamic MOS circuits (HJW, WR), pp. 540–546.
STOCSTOC-1986-DworkPPU #bound #fault tolerance #network
Fault Tolerance in Networks of Bounded Degree (Preliminary Version) (CD, DP, NP, EU), pp. 370–379.
DACDAC-1985-BryantS #concurrent #evaluation #fault #performance
Performance evaluation of FMOSSIM, a concurrent switch-level fault simulator (REB, MDS), pp. 715–719.
DACDAC-1985-GuptaA #fault #functional #modelling #simulation
Functional fault modeling and simulation for VLSI devices (AKG, JRA), pp. 720–726.
PODSPODS-1985-AbbadiSC #data transformation #fault tolerance #performance #protocol
An Efficient, Fault-Tolerant Protocol for Replicated Data Management (AEA, DS, FC), pp. 215–229.
STOCSTOC-1985-Feldman #fault tolerance #network
Fault Tolerance of Minimal Path Routings in a Network (PF), pp. 327–334.
SOSPSOSP-1985-Birman #fault tolerance #replication
Replication and Fault-Tolerance in the ISIS System (KPB), pp. 79–86.
DACDAC-1984-JainA #fault #named #simulation
STAFAN: An alternative to fault simulation (SKJ, VDA), pp. 18–23.
DACDAC-1984-KawaiH #fault #simulation
An experimental MOS fault simulation program CSASIM (MK, JPH), pp. 2–9.
DACDAC-1984-ReddyAJ #detection #fault #logic
A gate level model for CMOS combinational logic circuits with application to fault detection (SMR, VDA, SKJ), pp. 504–509.
STOCSTOC-1984-BroderDFS #fault tolerance #network #performance
Efficient Fault Tolerant Routings in Networks (AZB, DD, MJF, BS), pp. 536–541.
STOCSTOC-1984-DolevHSS #fault tolerance #network
A New Look at Fault Tolerant Network Routing (DD, JYH, BS, HRS), pp. 526–535.
STOCSTOC-1984-DolevMMU #fault #memory management
Correcting Faults in Write-Once Memory (DD, DM, HGM, JDU), pp. 225–229.
DACDAC-1983-AbramoviciMM #fault #simulation
Critical path tracing — an alternative to fault simulation (MA, PRM, DTM), pp. 214–220.
DACDAC-1983-Acken #fault #testing
Testing for bridging faults (shorts) in CMOS circuits (JMA), pp. 717–718.
DACDAC-1983-ChiangV #detection #fault #logic #network #on the
On fault detection in CMOS logic networks (KWC, ZGV), pp. 50–56.
DACDAC-1983-LaPaughL #testing
Total stuct-at-fault testing by circuit transformation (ASL, RJL), pp. 713–716.
DACDAC-1983-Vida-TorkuR #fault #multi #quality
Quality level and fault coverage for multichip modules (EKVT, CER), pp. 201–206.
SOSPSOSP-1983-BorgBG #fault tolerance
A Message System Supporting Fault Tolerance (AB, JB, SG), pp. 90–99.
DACDAC-1982-BoseKLNPW #fault
A fault simulator for MOS LSI circuits (AKB, PK, CYL, HNN, EPS, KWW), pp. 400–409.
DACDAC-1982-Hayes #fault #simulation
A fault simulation methodology for VLSI (JPH), pp. 393–399.
DACDAC-1982-MinS #fault #functional #testing
Testing functional faults in VLSI (YM, SYHS), pp. 384–392.
DACDAC-1982-Saluja #fault #generative
An enhancement of lssd to reduce test pattern generation effort and increase fault coverage (KKS), pp. 489–494.
ICLPILPC-1982-Eshghi82 #fault #logic #metalanguage #programming
Application of Meta-language Programming to Fault Finding in Logic Circuits (KE), pp. 240–246.
DACDAC-1981-AgrawalSA #fault #quality
LSI product quality and fault coverage (VDA, SCS, PA), pp. 196–203.
DACDAC-1981-El-Ziq #automation #fault #generative #testing
Automatic test generation for stuck-open faults in CMOS VLSI (YMEZ), pp. 347–354.
DACDAC-1981-HsuSB #fault #testing
Structured trace diagnosis for LSSD board testing — an alternative to full fault simulated diagnosis (FCH, PS, REB), pp. 891–897.
DACDAC-1981-Masurkar #algorithm #development #fault #identification #network
An algorithmic pretest development for fault identification in analog networks (VM), pp. 204–212.
DACDAC-1981-McDermott #analysis #fault #random
Random fault analysis (RMM), pp. 360–364.
DACDAC-1980-AbramoviciB #analysis #fault
Fault diagnosis based on effect-cause analysis: An introduction (MA, MAB), pp. 69–76.
DACDAC-1980-dAbreuT #concurrent #fault #functional
An accurate functional level concurrent fault simulator (MAd, EWT), pp. 210–217.
DACDAC-1980-GiambiasiMM #deduction #fault #simulation
Methods for generalized deductive fault simulation (NG, AM, DM), pp. 386–392.
DACDAC-1980-KarpovskyS #component #detection #fault #standard
Detecting bridging and stuck-at faults at input and output pins of standard digital components (MGK, SYHS), pp. 494–505.
DACDAC-1980-Northcutt #design #fault #implementation
The design and implementation of fault insertion capabilities for ISPS (JDN), pp. 197–209.
DACDAC-1980-UlrichLPTKEB #concurrent #fault #performance #simulation
High-speed concurrent fault simulation with vectors and scalars (EU, DL, NP, JT, MK, TE, RB), pp. 374–380.
DACDAC-1979-Cha #fault #multi #network
Multiple fault diagnosis in combinational networks (CWC), pp. 149–155.
DACDAC-1979-El-Ziq #fault #generative #network #performance #simulation #testing
Testing of MOS combinational networks a procedure for efficient fault simulation and test generation (YMEZ), pp. 162–170.
DACDAC-1979-KjelkerudT #deduction #fault #generative #logic #simulation #testing #using
Generation of hazard free tests using the D-algorithm in a timing accurate system for logic and deductive fault simulation (EK, OT), pp. 180–184.
DACDAC-1978-CaseS #fault #logic #simulation
SALOGS-IV-A program to perform logic simulation and fault diagnosis (GRC, JDS), pp. 392–397.
DACDAC-1978-MalekB #fault #functional #simulation
Functional simulation and fault diagnosis (MM, AKB), pp. 340–346.
DACDAC-1978-MiaraG #deduction #fault #simulation
Dynamic and deductive fault simulation (AM, NG), pp. 439–443.
DACDAC-1978-YamadaWFF #automation #fault #generative #scalability #testing
Automatic System Level Test Generation and Fault Location for Large Digital Systems (AY, NW, TF, SF), pp. 347–352.
ICSEICSE-1978-BoiM #design #fault tolerance
Design and Principles of a Fault Tolerant System (LB, PM), pp. 207–214.
DACDAC-1977-AbramoviciBK #concurrent #fault #functional #modelling #simulation
Concurrent fault simulation and functional level modeling (MA, MAB, KK), pp. 128–137.
DACDAC-1977-SchulerC #fault #performance #simulation
An efficient method of fault simulation for digital circuits modeled from boolean gates and memories (DMS, RKC), pp. 230–238.
DACDAC-1977-Snethen #fault #generative
Simulator-oriented fault test generator (TJS), pp. 88–93.
DACDAC-1977-Strunge #fault #modelling
Fault modeling in a hierarchical simulator (JJS), pp. 118–127.
DACDAC-1976-Case #analysis #fault #logic
Analysis of actual fault mechanisms in CMOS logic gates (GRC), pp. 265–270.
DACDAC-1976-GoundanH #clustering #fault #logic
Partitioning logic circuits to maximize fault resolution (AG, JPH), pp. 271–277.
DACDAC-1976-PaulT #concept #evaluation #fault tolerance #named #simulation
SEGMA — A simulation package for conception aid and fault tolerance evaluation of computing systems (JLP, RT), pp. 278–284.
DACDAC-1976-WilcoxR #fault #interactive #logic #named
F/LOGIC — An interactive fault and logic simulator for digital circuits (PSW, HR), pp. 68–73.
ICSEICSE-1976-WensleyGLS #analysis #design #fault tolerance #verification
The Design, Analysis, and Verification of the SIFT Fault-Tolerant System (JHW, MWG, KNL, RES), pp. 458–469.
DACDAC-1975-Fike #design #detection #fault #predict #question
Predicting fault detectability in combinational circuits — a new design tool? (JLF), pp. 290–295.
DACDAC-1975-ThompsonS #fault #simulation
Three levels of accuracy for the simulation of different fault types in digital systems (EWT, SAS), pp. 105–113.
SOSPSOSP-1975-Sadeh #algorithm #analysis #fault #performance
An Analysis of the Performance of the Page Fault Frequency (PFF) Replacement Algorithm (ES), pp. 6–13.
DACDAC-1974-FlomenhoftC
A minicomputer-based logic-fault simulator (MJF, BMC), p. 257.
DACDAC-1974-ThompsonSBP #analysis #fault #simulation #using
Timing analysis for digital fault simulation using assignable delays (EWT, SAS, NB, RP), pp. 266–272.
DACDAC-1972-ChangDE #analysis #fault #logic #self #simulation
Logic simulation and fault analysis of a self-checking switching processor (HYC, RCD, RAE), pp. 128–137.
DACDAC-1972-McClure #fault #logic #simulation
Fault simulation of digital logic utilizing a small host machine (RMM), pp. 104–110.
DACDAC-1972-UlrichBW #analysis #logic #simulation
Fault-test analysis techniques based on logic simulation (EGU, TEB, LRW), pp. 111–115.
DACDAC-1971-Bening #fault #logic #maintenance
Application of a logic fault analyzer to the manufacture and maintenance of the CONTROL DATA 7600 computer (LCBJ), pp. 231–235.
DACDAC-1971-KlaytonBL #detection #fault #memory management
Fault detection and diagnosis of memory system faults (ARK, WAB, AIL), pp. 269–277.
SOSPSOSP-1971-Needham #fault #operating system
Handling Difficult Faults in Operating Systems (RMN), pp. 55–57.
DACDAC-1970-BottorffSV #approach #automation #fault #memory management #problem #testing
An automatic system approach to the problem of memory circuit testing & fault diagnosis (PSB, MES, FJV), pp. 95–99.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.