BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
wide (49)
network (42)
use (40)
optim (35)
base (31)

Stem area$ (all stems)

289 papers:

DACDAC-2015-ChungRPG #energy #memory management
Domain wall memory based digital signal processors for area and energy-efficiency (JC, KR, JP, SG), p. 6.
DACDAC-2015-GuSZCH #embedded #memory management #performance
Area and performance co-optimization for domain wall memory in application-specific embedded systems (SG, EHMS, QZ, YC, JH), p. 6.
DACDAC-2015-LiXGWY #interface
Merging the interface: power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system (BL, LX, PG, YW, HY), p. 6.
DACDAC-2015-ZhaoTDZ #pipes and filters #synthesis
Area-efficient pipelining for FPGA-targeted high-level synthesis (RZ, MT, SD, ZZ), p. 6.
DATEDATE-2015-DiaoLWW #reduction
A coupling area reduction technique applying ODC shifting (YD, TKL, XW, YLW), pp. 1461–1466.
HCIDHM-EH-2015-HuDZYR #research
The Experimental Research of the Thumb’s Comfortable Control Area (HH, JD, CZ, FY, LR), pp. 44–52.
CASECASE-2014-MatsumotoY #3d #classification #framework #image
An object classification framework based on unmeasurable area patterns found in 3D range images (KM, KY), pp. 242–248.
DACDAC-2014-LeeL #embedded #runtime
Area-Efficient Event Stream Ordering for Runtime Observability of Embedded Systems (JCL, RL), p. 6.
DATEDATE-2014-CasamassimaFB #network #power management
Context aware power management for motion-sensing body area network nodes (FC, EF, LB), pp. 1–6.
DATEDATE-2014-ChenCH #array #configuration management #constraints #synthesis
Area minimization synthesis for reconfigurable single-electron transistor arrays with fabrication constraints (YHC, JYC, JDH), pp. 1–4.
DATEDATE-2014-TsaiCCC #3d #configuration management #memory management #multi
Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs (MLT, YJC, YTC, RHC), pp. 1–6.
SANERCSMR-WCRE-2014-AntinyanSMOWWHH #agile #case study #development #experience #identification #industrial #risk management
Identifying risky areas of software code in Agile/Lean software development: An industrial experience report (VA, MS, WM, , EW, JW, AH, JH), pp. 154–163.
STOCSTOC-2014-Neeman #testing
Testing surface area with arbitrary accuracy (JN), pp. 393–397.
ICALPICALP-v1-2014-Biedl #graph #on the
On Area-Optimal Planar Graph Drawings (TCB), pp. 198–210.
CHICHI-2014-Bergstrom-LehtovirtaO #functional #mobile #modelling
Modeling the functional area of the thumb on mobile touchscreen surfaces (JBL, AO), pp. 1991–2000.
CHICHI-2014-HuangTTTYCHC #named #using
TouchSense: expanding touchscreen input vocabulary using different areas of users’ finger pads (DYH, MCT, YCT, MLT, YTY, LWC, YPH, MYC), pp. 189–192.
CHICHI-2014-SuAL
The implicit fan cursor: a velocity dependent area cursor (XS, OKCA, RWHL), pp. 753–762.
HCIDUXU-DI-2014-OgataSMII #smarttech
Augmenting a Wearable Display with Skin Surface as an Expanded Input Area (MO, YS, YM, MI, MI), pp. 606–614.
HCIDUXU-ELAS-2014-AbbasHIARSAY
Barriers and Reforms for Promoting ICTs in Rural Areas of Pakistan (AA, MH, MI, SA, SR, MS, WA, NY), pp. 391–399.
HCIDUXU-ELAS-2014-MustafaMMAAMEBK #development #interface #learning #multi
Rural Area Development through Multi-interface Technology and Virtual Learning System (FuM, AM, SM, SA, UA, SM, HE, TAB, MFK), pp. 442–451.
HCIDUXU-ELAS-2014-SheikhDS #design #guidelines #knowledge base #towards #usability #women
Usability Guidelines for Designing Knowledge Base in Rural Areas — Towards Women Empowerment (JAS, HSD, FJS), pp. 462–469.
HCIDUXU-TMT-2014-HeimgartnerSH #agile #usability #using
Enhancing Usability Engineering in Rural Areas Using Agile Methods (RH, AS, BH), pp. 445–452.
ICEISICEIS-v1-2014-SilvaNMFMM #algorithm #detection #distributed #performance #pipes and filters #using
Efficient and Distributed DBScan Algorithm Using MapReduce to Detect Density Areas on Traffic Data (TLCdS, ACAN, RPM, VAEdF, JAFdM, JCM), pp. 52–59.
ECIRECIR-2014-SchallerHE #detection #smarttech
Detecting Event Visits in Urban Areas via Smartphone GPS Data (RS, MH, DE), pp. 681–686.
ICPRICPR-2014-FranchiA #adaptation
Spatially-Variant Area Openings for Reference-Driven Adaptive Contour Preserving Filtering (GF, JA), pp. 1043–1048.
ICPRICPR-2014-OGormanLY #multi #network #process
Creating a Unified, Wide-Area Activity Map for Multi-camera Networks (LO, DL, GY), pp. 4588–4593.
SLESLE-J-2012-GuizzardiZ #concept #modelling #ontology #using
Using a trope-based foundational ontology for bridging different areas of concern in ontology-driven conceptual modeling (GG, VZ), pp. 417–443.
CASECASE-2013-MaHS #grid #network #smarttech
Transmission power allocation for cooperative relay-based neighborhood area networks for smart grid (KM, GH, CJS), pp. 599–604.
CASECASE-2013-NaikMRHB
An example of computing the failure-tolerant workspace area for a planar kinematically redundant robot (PSN, AAM, RGR, RCH, KMBG), pp. 306–311.
DACDAC-2013-OnizawaG #clustering #network #power management #scalability
Low-power area-efficient large-scale IP lookup engine based on binary-weighted clustered networks (NO, WJG), p. 6.
DACDAC-2013-RoyCPP #parallel #synthesis #towards #trade-off
Towards optimal performance-area trade-off in adders by synthesis of parallel prefix structures (SR, MRC, RP, DZP), p. 8.
DACDAC-2013-ZhangRJ #network #towards
Towards trustworthy medical devices and body area networks (MZ, AR, NKJ), p. 6.
DATEDATE-2013-GaoBW #paradigm #performance
A new paradigm for trading off yield, area and performance to enhance performance per wafer (YG, MAB, YW), pp. 1753–1758.
DATEDATE-2013-MurugappaBJ #multi #standard
Parameterized area-efficient multi-standard turbo decoder (PM, AB, MJ), pp. 109–114.
DATEDATE-2013-SparsoKS #interface #network
An area-efficient network interface for a TDM-based network-on-chip (JS, EK, MS), pp. 1044–1047.
DATEDATE-2013-UnutulmazDF #optimisation #using
Area optimization on fixed analog floorplans using convex area functions (AU, GD, FVF), pp. 1843–1848.
DRRDRR-2013-SalahRP #adaptation #assessment #automation #detection #quality
Adaptive detection of missed text areas in OCR outputs: application to the automatic assessment of OCR quality in mass digitization projects (ABS, NR, TP).
CHICHI-2013-GuHHKL #named #using
LongPad: a touchpad using the entire area below the keyboard of a laptop computer (JG, SH, JH, SK, GL), pp. 1421–1430.
CHICHI-2013-SchmidtMB #named #scalability
Screenfinity: extending the perception area of content on very large public displays (CS, JM, GB), pp. 1719–1728.
HCIDUXU-NTE-2013-Choi #design #multi #navigation #standard #user interface
Multi-touch Based Standard UI Design of Car Navigation System for Providing Information of Surrounding Areas (JMC), pp. 40–48.
HCIDUXU-NTE-2013-WaldronS
Calculation of Areas of Permanence in Public Spaces, According to Solar Radiation Simulated Conditions (JAW, JHS), pp. 215–223.
HCIHIMI-HSM-2013-ItoK
Autonomous Locomotion Based on Interpersonal Contexts of Pedestrian Areas for Intelligent Powered Wheelchair (TI, MK), pp. 480–489.
HCIHIMI-HSM-2013-PapangelisSCVEN #information management #realtime
Developing a Real Time Passenger Information System for Rural Areas (KP, SS, DC, NV, PE, JDN), pp. 153–162.
MLDMMLDM-2013-WilliamsHFR #classification #distance #evaluation #probability
Area under the Distance Threshold Curve as an Evaluation Measure for Probabilistic Classifiers (SW, MH, JDF, DSR), pp. 644–657.
DATEDATE-2012-0002EGB #performance #using
Area efficient asynchronous SDM routers using 2-stage Clos switches (WS, DAE, JDG, WJB), pp. 1495–1500.
DATEDATE-2012-AksanliRM #energy #network
Benefits of green energy and proportionality in high speed wide area networks connecting data centers (BA, TSR, IM), pp. 175–180.
DATEDATE-2012-KondratyevLMW #synthesis #trade-off
Exploiting area/delay tradeoffs in high-level synthesis (AK, LL, MM, YW), pp. 1024–1029.
DATEDATE-2012-PatilJCLYPLCC #logic
Weighted area technique for electromechanically enabled logic computation with cantilever-based NEMS switches (SP, MWJ, CLC, DL, ZY, WEP, DJL, SAC, TC), pp. 727–732.
DATEDATE-2012-WangLLZLSCY #architecture
A compression-based area-efficient recovery architecture for nonvolatile processors (YW, YL, YL, DZ, SL, BS, MFC, HY), pp. 1519–1524.
DATEDATE-2012-XhakoniBG #3d #image #performance
Impact of TSV area on the dynamic range and frame rate performance of 3D-integrated image sensors (AX, DSSB, GGEG), pp. 836–839.
ICPRICPR-2012-ArthMS #locality #mobile
Exploiting sensors on mobile phones to improve wide-area localization (CA, AM, DS), pp. 2152–2156.
ICPRICPR-2012-CarliniF
A Semi-Lagrangian scheme for area preserving flows (EC, RF), pp. 2029–2033.
ICPRICPR-2012-PalenichkaPKL #image #modelling #multi #using
Model-based extraction of image area descriptors using a multi-scale attention operator (RMP, MP, YK, AL), pp. 853–856.
ICPRICPR-2012-PetricekS #3d #recognition
Area-weighted surface normals for 3D object recognition (TP, TS), pp. 1492–1496.
ICPRICPR-2012-ShiLBH #detection
Context-driven moving vehicle detection in wide area motion imagery (XS, HL, EB, WH), pp. 2512–2515.
ICPRICPR-2012-YagiMM #online #retrieval #robust #similarity #using
Robust on-line handwritten object retrieval using a similarity measure based on overlap area of circles (TY, HM, MM), pp. 721–724.
KMISKMIS-2012-FruhmannZ #enterprise #motivation
Enterprise 2.0 Adoption in SMEs — Application Areas, Motivation, Success Factors and Barriers (SF, MZ), pp. 202–207.
SIGIRSIGIR-2012-TranF #information retrieval #interactive #using
Using eye-tracking with dynamic areas of interest for analyzing interactive information retrieval (VTT, NF), pp. 1165–1166.
POPLPOPL-2012-HoderKV #game studies #proving
Playing in the grey area of proofs (KH, LK, AV), pp. 259–272.
SACSAC-2012-DivakarmurthyM
Area diversity in computer science collaborations (PD, RM), pp. 2041–2042.
SACSAC-2012-HarnieDBM #programming
Programming urban-area applications (DH, TD, EGB, WDM), pp. 1516–1521.
SACSAC-2012-LeeOKC
Grid-based cloaking area creation scheme supporting continuous location-based services (HL, BSO, HIK, JWC), pp. 537–543.
DATEDATE-2011-ChenLH #3d #architecture #towards
Architectural exploration of 3D FPGAs towards a better balance between area and delay (CIC, BCL, JDH), pp. 587–590.
DATEDATE-2011-GolaniB #multi #pipes and filters
An area-efficient multi-level single-track pipeline template (PG, PAB), pp. 1509–1512.
CHICHI-2011-MiyataF #documentation #identification
Document area identification for extending books without markers (AM, KF), pp. 3189–3198.
HCIDHM-2011-LeiY
Contact Area Determination between a N95 Filtering Facepiece Respirator and a Headform (ZL, J(Y), pp. 119–128.
HCIHCI-UA-2011-Levis #communication #towards #using #women
Working toward Women’s Economic Empowerment: Using Information and Communication Technology in Developing Areas to Market Traditional Crafts (MSL), pp. 266–273.
SACSAC-2011-TeranishiTH #named #network
HDOV: an overlay network for wide area spatial data collection (YT, ST, KH), pp. 506–513.
SOSPSOSP-2011-LloydFKA #consistency #scalability
Don’t settle for eventual: scalable causal consistency for wide-area storage with COPS (WL, MJF, MK, DGA), pp. 401–416.
DATEDATE-2010-Mirza-AghatabarBG #algorithm #pipes and filters
Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules (MMA, MAB, SKG), pp. 1249–1254.
DATEDATE-2010-Moezzi-MadaniTD #detection #flexibility #standard
A low-area flexible MIMO detector for WiFi/WiMAX standards (NMM, TT, WRD), pp. 1633–1636.
ITiCSEITiCSE-2010-CoconF #education #learning #named #online
LOMOLEHEA: learning object model for online learning based on the european higher education area (FC, EF), pp. 78–82.
ICEISICEIS-AIDSS-2010-SalasPRR #identification #using
Identification of Areas with Similar Wind Patterns using SOFM (JCPS, AAP, JJGdlR, JGR), pp. 40–45.
ICPRICPR-2010-AndoF #classification #image #segmentation
Human-Area Segmentation by Selecting Similar Silhouette Images Based on Weak-Classifier Response (HA, HF), pp. 3444–3447.
ICPRICPR-2010-KamedaO #image #navigation #retrieval
Image Retrieval of First-Person Vision for Pedestrian Navigation in Urban Area (YK, YO), pp. 364–367.
ICPRICPR-2010-MielochMM #image #quality #re-engineering #segmentation
Improved Fingerprint Image Segmentation and Reconstruction of Low Quality Areas (KM, AM, PM), pp. 1241–1244.
ICPRICPR-2010-OuzounisS #difference
Differential Area Profiles (GKO, PS), pp. 4085–4088.
KMISKMIS-2010-Pankowska #project management
ICT Innovation Project Management — New Knowledge Areas in PMBOK (MP), pp. 294–299.
SEKESEKE-2010-JuniorLAMW #impact analysis #learning #multi #using
Impact Analysis Model for Brasília Area Control Center using Multi-agent System with Reinforcement Learning (ACdAJ, AFL, CRFdA, ACMAdM, LW), pp. 499–502.
SEKESEKE-2010-KhoshgoftaarG #machine learning #metric #novel #re-engineering #using
Software Engineering with Computational Intelligence and Machine Learning A Novel Software Metric Selection Technique Using the Area Under ROC Curves (TMK, KG), pp. 203–208.
ICSEICSE-2010-Wassermann #distributed
Improving wide-area distributed system availability (BW), pp. 347–348.
HPDCHPDC-2010-KettimuthuSGABBCCDFHHLLLMNPRRWWW #grid #lessons learnt #network #set
Lessons learned from moving earth system grid data sets over a 20 Gbps wide-area network (RK, AS, DG, BA, PTB, JB, AC, LC, ED, ITF, KH, JH, JL, ML, JL, KM, VN, VP, KR, DR, DNW, LW, LW), pp. 316–319.
HPDCHPDC-2010-StoutFMG #clustering #network #scalability #using
Scaling virtual organization clusters over a wide area network using the Kestrel workload management system (LS, MF, MAM, SG), pp. 692–698.
HPDCHPDC-2010-TanakaT #data-driven #distributed #flexibility #named #parallel #workflow
Pwrake: a parallel and distributed flexible workflow management tool for wide-area data intensive computing (MT, OT), pp. 356–359.
CASECASE-2009-MysoreNUMR #algorithm #performance
An efficient algorithm for RFID reader positioning for coverage of irregularly-shaped areas (NM, PN, RSU, RM, SR), pp. 233–240.
DACDAC-2009-CromarLC #algorithm #reduction
FPGA-targeted high-level binding algorithm for power and area reduction with glitch-estimation (SC, JL, DC), pp. 838–843.
DACDAC-2009-FangWC #co-evolution #design
Flip-chip routing with unified area-I/O pad assignments for package-board co-design (JWF, MDFW, YWC), pp. 336–339.
DATEDATE-2009-KahngLPS #design #performance
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration (ABK, BL, LSP, KS), pp. 423–428.
DATEDATE-2009-ZiermannWT #network #protocol
CAN+: A new backward-compatible Controller Area Network (CAN) protocol with up to 16× higher data rates (TZ, SW, JT), pp. 1088–1093.
ICDARICDAR-2009-HoangTP #diagrams #image #using
Extraction of Nom Text Regions from Stele Images Using Area Voronoi Diagram (TVH, ST, NYP), pp. 921–925.
VLDBVLDB-2009-BorisovBURS #database #named #network #problem
DIADS: A Problem Diagnosis Tool for Databases and Storage Area Networks (NB, SB, SU, RR, AS), pp. 1546–1549.
CHICHI-2009-BergmanTBCW #using
It’s not that important: demoting personal information of low subjective importance using GrayArea (OB, ST, RBM, EC, SW), pp. 269–278.
CHICHI-2009-ChapuisLP #named
DynaSpot: speed-dependent area cursor (OC, JBL, EP), pp. 1391–1400.
HCIDHM-2009-NiuLX #3d #clustering
Comparisons of 3D Shape Clustering with Different Face Area Definitions (JN, ZL, SX), pp. 55–63.
HCIHCI-NIMT-2009-WhangWK #research
The Research on EEG Coherence Around Central Area of Left Hemisphere According to Grab Movement of Right Hand (MCW, JW, JK), pp. 636–642.
ECIRECIR-2009-DonmezC #learning #optimisation #rank
Active Sampling for Rank Learning via Optimizing the Area under the ROC Curve (PD, JGC), pp. 78–89.
SEKESEKE-2009-BifflSM #automation #ontology #semantic gap
Bridging Semantic Gaps Between Stakeholders in the Production Automation Domain with Ontology Areas (SB, WDS, TM), pp. 233–239.
SACSAC-2009-CorreaNBC #evaluation #health
Evaluation of VR medical training applications under the focus of professionals of the health area (CGC, FLSN, AB, PMCJ), pp. 821–825.
HPDCHPDC-2009-HironakaST #concurrent #performance #using
High performance wide-area overlay using deadlock-free routing (KH, HS, KT), pp. 81–90.
CASECASE-2008-LiMAM #multi #network #scheduling
Low-complexity Channel-Aware Scheduling for multichannel wireless local area networks (YL, SM, PA, SFM), pp. 133–138.
DACDAC-2008-BalkanQV #hybrid #network #parallel
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing (AOB, GQ, UV), pp. 435–440.
DACDAC-2008-HuSMH #multi #reduction
FPGA area reduction by multi-output function based sequential resynthesis (YH, VS, RM, LH), pp. 24–29.
DACDAC-2008-WangZ #algorithm #incremental #performance
An efficient incremental algorithm for min-area retiming (JW, HZ), pp. 528–533.
DATEDATE-2008-ChakrabortySP #layout #optimisation
Layout Level Timing Optimization by Leveraging Active Area Dependent Mobility of Strained-Silicon Devices (AC, SXS, DZP), pp. 849–855.
DATEDATE-2008-HalakY #optimisation
Bandwidth-Centric Optimisation for Area-Constrained Links with Crosstalk Avoidance Methods (BH, AY), pp. 438–443.
SIGMODSIGMOD-2008-ChandramouliYAYZ #named #scalability
ProSem: scalable wide-area publish/subscribe (BC, JY, PKA, AY, YZ), pp. 1315–1318.
SIGMODSIGMOD-2008-HwangCCZ #monitoring #named
Borealis-R: a replication-transparent stream processing system for wide-area monitoring applications (JHH, SC, , SBZ), pp. 1303–1306.
CHICHI-2008-TanvirCIC #adaptation #named
AAMU: adaptive activation area menus for improving selection in cascading pull-down menus (ET, JC, PI, AC), pp. 1381–1384.
SACSAC-2008-NunesC08a #artificial reality #challenge #health #perspective
The virtual reality challenges in the health care area: a panoramic view (FLSN, RMEMdC), pp. 1312–1316.
HPDCHPDC-2008-GangulyBWF
Improving peer connectivity in wide-area overlays of virtual workstations (AG, POB, DW, RJOF), pp. 129–140.
HPDCHPDC-2008-KhannaCKSSKF #data transfer #multi #network #optimisation #using
Multi-hop path splitting and multi-pathing optimizations for data transfers over shared wide-area networks using gridFTP (GK, ÜVÇ, TMK, PS, JHS, RK, ITF), pp. 225–226.
DACDAC-2007-AksoyCFM #metric #optimisation #using
Optimization of Area in Digital FIR Filters using Gate-Level Metrics (LA, EACdC, PFF, JCM), pp. 420–423.
DACDAC-2007-GoldgeisserCD #hardware #modelling
Modeling Safe Operating Area in Hardware Description Languages (LBG, EC, ZD), pp. 377–382.
DACDAC-2007-MorganT #encoding #energy #reduction
ASIP Instruction Encoding for Energy and Area Reduction (PM, RT), pp. 797–800.
DATEDATE-2007-AlamRMGCS #configuration management
An area optimized reconfigurable encryptor for AES-Rijndael (MA, SR, DM, SG, DRC, IS), pp. 1116–1121.
DATEDATE-2007-CuiDHG #2d #algorithm #configuration management #online #performance
An efficient algorithm for online management of 2D area of partially reconfigurable FPGAs (JC, QD, XH, ZG), pp. 129–134.
DATEDATE-2007-HaastregtK #interactive #optimisation #performance #random #using
Interactive presentation: Feasibility of combined area and performance optimization for superscalar processors using random search (SvH, PMWK), pp. 606–611.
DATEDATE-2007-MolinaRMH #multi #optimisation #synthesis
Area optimization of multi-cycle operators in high-level synthesis (MCM, RRS, JMM, RH), pp. 449–454.
DATEDATE-2007-WielageMAW #design #embedded #performance
Design and DfT of a high-speed area-efficient embedded asynchronous FIFO (PW, EJM, MA, CW), pp. 853–858.
DocEngDocEng-2007-HurstM #approximate
Approximating text by its area (NH, KM), pp. 147–150.
DRRDRR-2007-YangKR #difference
Scale-controlled area difference shape descriptor (MY, KK, JR).
VLDBVLDB-2007-XuJ #algorithm #query #random
Randomized Algorithms for Data Reconciliation in Wide Area Aggregate Query Processing (FX, CJ), pp. 639–650.
ITiCSEITiCSE-2007-GorraLC #assessment #database #student
An account of the use of synoptic assessment for students in the area of databases at level 2 (AG, SL, JC), p. 334.
HCIHCI-IPT-2007-RolshofenDS #interface
Application of Tangible Acoustic Interfaces in the Area of Production Control and Manufacturing (WR, PD, GS), pp. 920–925.
HCIHIMI-IIE-2007-HsuCSWY #analysis #assessment #game studies #interface #online #using
Function Interfaces Assessment of Online Game Websites in Great China Area Using Content Analysis (CIH, CC, CHS, PJW, YJY), pp. 337–346.
ICEISICEIS-SAIC-2007-PoloniaCO #scalability
A MODEL to Optimize the Use of Imaging Equipment and Human Skills Scattered in Very Large Geographical Areas (DFP, CMAC, JLO), pp. 208–212.
PPoPPPPoPP-2007-SaitoT #rank
Locality-aware connection management and rank assignment for wide-area MPI (HS, KT), pp. 150–151.
DACDAC-2006-AksoyCFM #constraints #integer #linear #optimisation #programming #satisfiability #synthesis #using
Optimization of area under a delay constraint in digital filter synthesis using SAT-based integer linear programming (LA, EACdC, PFF, JM), pp. 669–674.
DATEDATE-2006-IizukaIA #layout #optimisation
Timing-driven cell layout de-compaction for yield optimization by critical area minimization (TI, MI, KA), pp. 884–889.
DATEDATE-2006-Kim #fault
Area-efficient error protection for caches (SK), pp. 1282–1287.
DATEDATE-2006-PanainteBV #compilation #configuration management
Compiler-driven FPGA-area allocation for reconfigurable computing (EMP, KB, SV), pp. 369–374.
DATEDATE-2006-SehgalGMC #design #framework
Hierarchy-aware and area-efficient test infrastructure design for core-based system chips (AS, SKG, EJM, KC), pp. 285–290.
SOFTVISSOFTVIS-2006-ByelasT #architecture #diagrams #visualisation
Visualization of areas of interest in software architecture diagrams (HB, ACT), pp. 105–114.
ICPRICPR-v2-2006-BarakatB #using
Rule Extraction from Support Vector Machines: Measuring the Explanation Capability Using the Area under the ROC Curve (NHB, APB), pp. 812–815.
ICPRICPR-v4-2006-TaxD #linear #optimisation
Linear model combining by optimizing the Area under the ROC curve (DMJT, RPWD), pp. 119–122.
ICPRICPR-v4-2006-XuZM #automation #segmentation
Automatic Iris Segmentation Based on Local Areas (GX, ZZ, YM), pp. 505–508.
SACSAC-2006-Roth #detection #mobile
Detecting identifiable areas in mobile environments (JR), pp. 986–991.
HPDCHPDC-2006-GangulyABF #named #network #self
WOW: Self-Organizing Wide Area Overlay Networks of Virtual Workstations (AG, AA, POB, RJOF), pp. 30–42.
LCTESLCTES-2006-YanSG #architecture #configuration management #estimation #implementation
Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures (LY, TS, NG), pp. 182–188.
DACDAC-2005-GilbertCS #network
MIMO technology for advanced wireless local area networks (JMG, WJC, QS), pp. 413–415.
DACDAC-2005-KrenikB #network
Cognitive radio techniques for wide area networks (WK, AB), pp. 409–412.
DATEDATE-2005-DykaL #encryption #hardware #implementation #performance
Area Efficient Hardware Implementation of Elliptic Curve Cryptography by Iteratively Applying Karatsuba’s Method (ZD, PL), pp. 70–75.
DATEDATE-2005-KitaharaKMSF #design #multi #power management #reduction
Area-Efficient Selective Multi-Threshold CMOS Design Methodology for Standby Leakage Power Reduction (TK, NK, FM, KS, TF), pp. 646–647.
DATEDATE-2005-MartinelliD #bound #composition #set
Bound Set Selection and Circuit Re-Synthesis for Area/Delay Driven Decomposition (AM, ED), pp. 430–431.
DATEDATE-2005-SilvaB #architecture #design #pipes and filters #throughput #trade-off
Area and Throughput Trade-Offs in the Design of Pipelined Discrete Wavelet Transform Architectures (SVS, SB), pp. 32–37.
ICDARICDAR-2005-LuT #diagrams #documentation #image
Constructing Area Voronoi Diagram in Document Images (YL, CLT), pp. 342–346.
CSEETCSEET-2005-ThompsonF #question #re-engineering
Best Practice: Is this the Cinderella Area of Software Engineering? (JBT, AJF), pp. 137–144.
CHICHI-2005-GrossmanB
The bubble cursor: enhancing target acquisition by dynamic resizing of the cursor’s activation area (TG, RB), pp. 281–290.
HPDCHPDC-2005-OppenheimerAPV #design #implementation #resource management #trade-off
Design and implementation tradeoffs for wide-area resource discovery (DLO, JRA, DAP, AV), pp. 113–124.
DACDAC-2004-BrandoleseFS #design #estimation
An area estimation methodology for FPGA based designs at systemc-level (CB, WF, FS), pp. 129–132.
DACDAC-2004-BriskKS #configuration management #design #set #synthesis
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs (PB, AK, MS), pp. 395–400.
DATEDATE-v2-2004-KumarBK #algorithm #analysis #array #embedded #memory management #named #reduction #using
MemMap: Technology Mapping Algorithm for Area Reduction in FPGAs with Embedded Memory Arrays Using Reconvergence Analysis (AMK, JB, VK), pp. 922–929.
DATEDATE-v2-2004-VrankenSW #layout
Impact of Test Point Insertion on Silicon Area and Timing during Layout (HPEV, FSS, HJW), pp. 810–815.
STOCSTOC-2004-Wigderson #question #why
Depth through breadth, or why should we attend talks in other areas? (AW), p. 579.
ICMLICML-2004-HerschtalR #optimisation #using
Optimising area under the ROC curve using gradient descent (AH, BR).
ICPRICPR-v3-2004-SladojeLN #optimisation #similarity
Defuzzification of Discrete Objects by Optimizing Area and Perimeter Similarity (NS, JL, IN), pp. 526–529.
ICPRICPR-v3-2004-ZhouK #estimation #multi
Estimation of the Size and Location of Multiple Area Light Sources (WZ, CK), pp. 214–217.
HPDCHPDC-2004-DenisAHVKB #communication #performance #problem #security
Wide-Area Communication for Grids: An Integrated Solution to Connectivity, Performance and Security Problems (AD, OA, RFHH, KV, TK, HEB), pp. 97–106.
OSDIOSDI-2004-ZhangZPPW #internet #monitoring #named
PlanetSeer: Internet Path Failure Monitoring and Characterization in Wide-Area Services (MZ, CZ, VSP, LLP, RYW), pp. 167–182.
DACDAC-2003-ChenGK #synthesis
Performance-impact limited area fill synthesis (YC, PG, ABK), pp. 22–27.
DATEDATE-2003-ChenKRZZ #generative #reduction
Area Fill Generation With Inherent Data Volume Reduction (YC, ABK, GR, AZ, YZ), pp. 10868–10875.
DATEDATE-2003-ChoiB #migration #network #using
Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration (WC, KB), pp. 11104–11105.
DATEDATE-2003-LuK
Interconnect Planning with Local Area Constrained Retiming (RL, CKK), pp. 10442–10447.
DATEDATE-2003-SmeySM #reduction
Crosstalk Reduction in Area Routing (RMS, BS, PHM), pp. 10862–10867.
DATEDATE-2003-WangM #multi #optimisation #using
Power/Ground Mesh Area Optimization Using Multigrid-Based Technique (KW, MMS), pp. 10850–10855.
SIGMODSIGMOD-2003-DeshpandeNGS #database
Cache-and-Query for Wide Area Sensor Databases (AD, SN, PBG, SS), pp. 503–514.
ICEISICEIS-v2-2003-Koehler #automation #database #health #learning #network
Tool for Automatic Learning of Bayesian Networks From Database: An Application in the Health Area (CK), pp. 474–481.
SACSAC-2003-RaisanenW #communication #evaluation #multi #network #optimisation #problem
Multi-objective Optimization in the Area Coverage Problems for Cellular Communication Networks: Evaluation of an Elitist Evolutionary Strategy (LR, RMW), pp. 714–720.
HPCAHPCA-2003-HaoH #network
Active I/O Switches in System Area Networks (MH, MH), pp. 365–376.
DATEDATE-2002-GonciariAN #testing
Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression (PTG, BMAH, NN), pp. 604–611.
DATEDATE-2002-HettiaratchiCC #generative #memory management #trade-off
Performance-Area Trade-Off of Address Generators for Address Decoder-Decoupled Memory (SH, PYKC, TJWC), pp. 902–908.
DATEDATE-2002-LeeLFCH #problem
A New Formulation for SOC Floorplan Area Minimization Problem (CHL, YCL, WYF, CCC, TMH), p. 1100.
DATEDATE-2002-NayakHCB
Accurate Area and Delay Estimators for FPGAs (AN, MH, ANC, PB), pp. 862–869.
ICEISICEIS-2002-RogersCR
E-Procurement in a Rural Area (MR, TC, SR), pp. 1054–1057.
ICMLICML-2002-FerriFH #learning #using
Learning Decision Trees Using the Area Under the ROC Curve (CF, PAF, JHO), pp. 139–146.
ICPRICPR-v1-2002-DebaqueSD #3d #modelling
An Area-Based Alignment Method for 3D Urban Models (BD, GS, MPD), pp. 61–64.
ICPRICPR-v1-2002-RellierDZF #analysis
A Gauss-Markov Model for Hyperspectral Texture Analysis of Urban Areas (GR, XD, JZ, FF), pp. 692–695.
ICPRICPR-v1-2002-YuK #3d #approximate #estimation
An Approximative Calculation of Relative Convex Hulls for Surface Area Estimation of 3D Digital Objects (LY, RK), pp. 131–134.
ICPRICPR-v3-2002-Bourlard #pattern matching #pattern recognition #recognition #speech #statistics
Some Recent Advances in Speech Recognition with Potential Applications in Other Statistical Pattern Recognition Areas (HB), p. 727.
ICPRICPR-v3-2002-JohnsonB #identification #metric
Relationship between Identification Metrics: Expected Confusion and Area Under a ROC Curve (AYJ, AFB), pp. 662–666.
HPDCHPDC-2002-Plale #data type #memory management #runtime
Leveraging Run Time Knowledge about Event Rates to Improve Memory Utilization in Wide Area Data Stream Filtering (BP), pp. 171–178.
OSDIOSDI-2002-SaitoKKM #file system #replication
Taming Aggressive Replication in the Pangaea Wide-Area File System (YS, CTK, MK, MM), pp. 15–30.
DACDAC-2001-SanghaviW #estimation #power of
Estimation of Speed, Area, and Power of Parameterizable, Soft IP (JVS, AW), pp. 31–34.
DocEngDocEng-2001-DuongCES #documentation #image
Extraction of text areas in printed document images (JD, MC, HE, CYS), pp. 157–165.
ICDARICDAR-2001-JinT #composition #locality #using
Text Area Localization under Complex-Background Using Wavelet Decomposition (NJ, YYT), pp. 1126–1130.
FMFME-2001-Misra #programming
A Programming Model for Wide-Area Computing (JM), p. 222.
SACSAC-2001-VuHT #algorithm #detection #image #performance #query
An efficient core-area detection algorithm for fast noise-free image query processing (KV, KAH, DAT), pp. 258–263.
HPDCHPDC-2001-RomeinB #scheduling
Wide-Area Transposition-Driven Scheduling (JWR, HEB), pp. 347–355.
HPDCHPDC-2001-RoyoCKF #architecture #network #pipes and filters #resource management
Active Yellow Pages: A Pipelined Resource Management Architecture for Wide-Area Network Computing (DR, LDdC, NHK, JABF), pp. 147–157.
PPoPPPPoPP-2001-NieuwpoortKB #divide and conquer #performance
Efficient load balancing for wide-area divide-and-conquer applications (RvN, TK, HEB), pp. 34–43.
SOSPSOSP-2001-DabekKKMS
Wide-Area Cooperative Storage with CFS (FD, MFK, DRK, RM, IS), pp. 202–215.
DACDAC-2000-JongeneelWBO
Area and search space control for technology mapping (DJJ, YW, RKB, RHJMO), pp. 86–91.
DATEDATE-2000-BouraiS #layout #optimisation
Layout Compaction for Yield Optimization via Critical Area Minimization (YB, CJRS), pp. 122–125.
DATEDATE-2000-PaulusKT #constraints #optimisation
Area Optimization of Analog Circuits Considering Matching Constraints (CP, UK, RT), p. 738.
CSCWCSCW-2000-KumD #collaboration #network #realtime
Supporting real-time collaboration over wide area networks (HCK, PD), p. 355.
ICPRICPR-v3-2000-GatosM #algorithm #novel #recursion #using
A Novel Recursive Algorithm for Area Location Using Isothetic Polygons (BG, SLM), pp. 3496–3499.
ICPRICPR-v3-2000-JacobBU
Exact Computation of Area Moments for Spline and Wavelet Curves (MJ, TB, MU), pp. 3131–3134.
ICPRICPR-v3-2000-MurakamiN #detection
High Speed Line Detection by Hough Transform in Local Area (KM, TN), pp. 3471–3474.
ICPRICPR-v3-2000-WerthS #bidirectional #correlation #framework #novel #refinement
A Novel Bidirectional Framework for Control and Refinement of Area Based Correlation Techniques (PW, SS), pp. 3738–3741.
ICPRICPR-v4-2000-BuiVW #framework #probability
A Probabilistic Framework for Tracking in Wide-Area Environments (HHB, SV, GAWW), pp. 4702–4705.
ICPRICPR-v4-2000-UkitaM #incremental #modelling
Incremental Observable-Area Modeling for Cooperative Tracking (NU, TM), pp. 4192–4196.
HPCAHPCA-2000-CasadoBQSD #configuration management #evaluation #network #performance
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks (RC, AB, FJQ, JLS, JD), pp. 85–96.
HPDCHPDC-2000-AdabalaKF #clustering #network
Interfacing Wide-Area Network Computing and Cluster Management Software: Condor, DQS and PBS via PUNCH (SA, NHK, JABF), pp. 306–307.
HPDCHPDC-2000-NishiTYKA #network #parallel #performance
A Local Area System Network RHinet-1: A Network for High Performance Parallel Computing (HN, KT, JY, TK, HA), pp. 296–297.
HPDCHPDC-2000-TanakaHSNS #clustering #evaluation #performance
Performance Evaluation of a Firewall-Compliant Globus-based Wide-Area Cluster System (YT, MH, MS, HN, SS), pp. 121–128.
DACDAC-1999-TabbaraBN #constraints #trade-off
Retiming for DSM with Area-Delay Trade-Offs and Delay Constraints (AT, RKB, ARN), pp. 725–730.
DACDAC-1999-TanSLLY #linear #network #optimisation #sequence
Reliability-Constrained Area Optimization of VLSI Power/Ground Networks via Sequence of Linear Programmings (XDT, CJRS, DL, JCL, LPY), pp. 78–83.
ITiCSEITiCSE-1999-PutSZ #network #student
The system of checking students’ knowledge with the use of wide area networks (DP, JS, MZ), p. 192.
ICALPICALP-1999-Cardelli
Wide Area Computation (LC), pp. 10–24.
ICALPICALP-1999-KirstenM #problem
Two Techniques in the Area of the Star Problem (DK, JM), pp. 483–492.
SACSAC-1999-FenwickD #automaton #estimation #using
Electrical Substation Service-Area Estimation Using Cellular Automata: An Initial Report (JWF, LJD), pp. 560–565.
HPDCHPDC-1999-ImaiSIK #corba #named #network #performance
CrispORB: High Performance CORBA for System Area Network (YI, TS, TI, MK), pp. 11–18.
HPDCHPDC-1999-RosePASSWR #approach #distributed #parallel #performance #visualisation
An Approach to Immersive Performance Visualization of Parallel and Wide-Area Distributed Applications (LDR, MP, RAA, ES, BS, SW, DAR), pp. 247–254.
PPoPPPPoPP-1999-KielmannHBPB #clustering #communication #named
MagPIe: MPI’s Collective Communication Operations for Clustered Wide Area Systems (TK, RFHH, HEB, AP, RB), pp. 131–140.
DACDAC-1998-CongM #design #multi #performance
Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs (JC, PHM), pp. 356–361.
DACDAC-1998-LakshminarayanaJ98a #behaviour #power management #synthesis
Synthesis of Power-Optimized and Area-Optimized Circuits from Hierarchical Behavioral Descriptions (GL, NKJ), pp. 439–444.
DACDAC-1998-TsengSS
Timing and Crosstalk Driven Area Routing (HPT, LS, CS), pp. 378–381.
DATEDATE-1998-LuSJ
Technology Mapping for Minimizing Gate and Routing Area (AL, GS, FMJ), pp. 664–669.
ICPRICPR-1998-BouzidiBH #approach #data fusion #monitoring
A remote sensing data fusion approach to monitor agricultural areas (SB, JPB, IH), pp. 1387–1389.
HPDCHPDC-1998-ForslundGG #collaboration #corba #java #named
TeleMed: Wide-Area, Secure, Collaborative Object Computing with Java and CORBA for Healthcare (DWF, JEG, EMG), pp. 30–33.
HPDCHPDC-1998-Johnston #data-driven #performance
High-Speed, Wide Area, Data Intensive Computing: A Ten Year Retrospective (WEJ), pp. 280–291.
HPDCHPDC-1998-StellingFKLL #detection #distributed #fault
A Fault Detection Service for Wide Area Distributed Computations (PS, ITF, CK, CAL, GvL), pp. 268–278.
HPDCHPDC-1998-VahdatADBCEY #named #operating system
WebOS: Operating System Services for Wide Area Applications (AV, TEA, MD, EB, DEC, PE, CY), pp. 52–63.
DACDAC-1997-MaheshwariS #algorithm
An Improved Algorithm for Minimum-Area Retiming (NM, SSS), pp. 2–7.
ICDARICDAR-1997-JingboXT #analysis #segmentation
Segmentation of Stick Text Based on Sub Connected Area Analysis (JG, XL, ZT), p. 417–?.
VLDBVLDB-1997-KumarKA #framework #named #network #process #transaction
Caprera: An Activity Framework for Transaction Processing on Wide-Area Networks (SK, EKK, DA), pp. 585–589.
CHICHI-1997-WordenWBH
Making Computers Easier for Older Adults to Use: Area Cursors and Sticky Icons (AW, NW, KB, SEH), pp. 266–271.
HCIHCI-CC-1997-ShafferC #identification #question #reduction #why
Identifying Areas for Workload Reduction Through System Changes: Why Bother? (MTS, HKC), pp. 497–500.
DACDAC-1996-ChenH #algorithm #approximate #performance
Efficient Approximation Algorithms for Floorplan Area Minimization (DZC, XH), pp. 483–486.
DACDAC-1996-LillisCLH #performance #trade-off
New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing (JL, CKC, TTYL, CYH), pp. 395–400.
DACDAC-1996-LiouLC #performance #pipes and filters #pseudo #testing
Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming (HYL, TTYL, CKC), pp. 274–279.
ICPRICPR-1996-TozakiKNOEM #3d #analysis #image #using
Three-dimensional analysis of lung areas using thin-slice CT images (TT, YK, NN, HO, KE, NM), pp. 548–552.
SACSAC-1996-KhalilSO #network #protocol #simulation
A simulation study of the mechanisms to enhance TCP protocol in wide area computer networks (KMK, YSS, MSO), pp. 77–81.
HPDCHPDC-1996-AgasaveeranL #distributed #multi #scheduling
Distributed Job Scheduling in SCI Local — Area Multiprocessors (SA, QL), pp. 606–615.
HPDCHPDC-1996-OguchiO #case study #distributed #network
A Study of Caching Proxy Mechanisms Realized on Wide Area Distributed Networks (MO, KO), pp. 443–449.
HPDCHPDC-1996-WeissmanG #scheduling
A Federated Model for Scheduling in Wide-Area Systems (JBW, ASG), pp. 542–550.
DACDAC-1995-GeloshS #layout #modelling #performance #tool support
Deriving Efficient Area and Delay Estimates by Modeling Layout Tools (DSG, DES), pp. 402–407.
DACDAC-1995-ParulkarGB #design
Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead (IP, SKG, MAB), pp. 395–401.
CHICHI-1995-KabbashB #using
The “Prince” Technique: Fitts’ Law and Selection Using Area Cursors (PK, WB), pp. 273–279.
SOSPSOSP-1995-GwertzmanS #replication
Autonomous Replication Across Wide-Area Internetworks (JG, MIS), p. 234.
DACDAC-1994-HaradaK #optimisation #performance
A Global Router Optimizing Timing and Area for High-Speed Bipolar LSI’s (IH, HK), pp. 177–181.
DACDAC-1994-KarriO #architecture #detection #fault #self #synthesis
Area-Efficient Fault Detection During Self-Recovering Microarchitecture Synthesis (RK, AO), pp. 552–556.
AdaEuropeAdaEurope-1994-BjornfotLWA #network
Distribution of Tasks within a Centrally Scheduled Local Area Network (LB, KL, GW, LA), pp. 421–432.
TOOLSTOOLS-EUROPE-1994-Sikora #empirical #object-oriented #problem #programming #roadmap
Selected Results from an Empirical Study on Problem Areas and Trends of Object-Oriented Programming (HS), pp. 303–313.
DACDAC-1993-CongD #on the #trade-off
On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping (JC, YD), pp. 213–218.
ICDARICDAR-1993-AmamotoTH #documentation #segmentation
Block segmentation and text area extraction of vertically/horizontally written document (NA, ST, YH), pp. 739–742.
ICDARICDAR-1993-SaitohTY #documentation #image #segmentation
Document image segmentation and text area ordering (TS, MT, TY), pp. 323–329.
FMFME-1993-BowenS #formal method #industrial #perspective #safety
The Industrial Take-up of Formal Methods in Safety-Critical and Other Areas: A Perspective (JPB, VS), pp. 183–195.
HCIHCI-ACS-1993-SotoyamaSTSS
Gaze Direction and Ocular Surface Area in VDT Work (MS, SS, ST, TS, SS), pp. 750–755.
SACSAC-1993-HitzW #analysis #development #information management #multi
Development and Analysis of a Wide Area Multimedia Information System (MH, HW), pp. 238–246.
DACDAC-1992-ChaudharyP #algorithm #constraints
A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints (KC, MP), pp. 492–498.
DACDAC-1992-KimBCP #algorithm #named
APT: An Area-Performance-Testability Driven Placement Algorithm (SK, PB, VC, JHP), pp. 141–146.
DACDAC-1992-RundensteinerG #functional #optimisation #synthesis #using
Functional Synthesis Using Area and Delay Optimization (EAR, DG), pp. 291–296.
DACDAC-1992-SawkarT #array #programmable
Area and Delay Mapping for Table-Look-Up Based Field Programmable Gate Arrays (PS, DET), pp. 368–373.
DACDAC-1992-WangW #graph #optimisation
A Graph Theoretic Technique to Speed up Floorplan Area Optimization (TCW, DFW), pp. 62–68.
SEKESEKE-1992-SotiropoulouP #case study #difference #equation #information management
A Case Study of Knowledge Engineering in the Application Area of Elliptic Partial Differential Equations (PDE’s) (VVS, TSP), pp. 261–268.
ASPLOSASPLOS-1992-AndersonOST #network #scheduling
High Speed Switch Scheduling for Local Area Networks (TEA, SSO, JBS, CPT), pp. 98–110.
DACDAC-1991-GuruswamyW #multi
A General Multi-Layer Area Router (MG, DFW), pp. 335–340.
DACDAC-1991-ParkerGH #design #physics #trade-off
The Effects of Physical Design Characteristics on the Area-Performance Tradeoff Curve (ACP, PG, AH), pp. 530–534.
SIGIRSIGIR-1991-FreiW #algorithm #effectiveness #network #retrieval
Retrieval Algorithm Effectiveness in a Wide Area Network Information Filter (HPF, MFW), pp. 114–122.
DACDAC-1990-KatsadasK #multi
A Multi-Layer Router Utilizing Over-Cell Areas (EK, EK), pp. 704–708.
DACDAC-1990-LinMK #design #optimisation #standard
Delay and Area Optimization in Standard-Cell Design (SL, MMS, ESK), pp. 349–352.
DACDAC-1990-WangW #algorithm #optimisation
An Optimal Algorithm for Floorplan Area Optimization (TCW, DFW), pp. 180–186.
DACDAC-1989-WongS #optimisation #performance
Efficient Floorplan Area Optimization (DFW, PSS), pp. 586–589.
DACDAC-1988-ChenB #layout
A Module Area Estimator for VLSI Layout (XC, MLB), pp. 54–59.
DACDAC-1988-KuoF #configuration management #scalability
Spare Allocation and Reconfiguration in Large Area VLSI (SYK, WKF), pp. 609–612.
DACDAC-1988-Zimmerman #estimation
A New Area and Shape Function Estimation Technique for VLSI Layouts (GZ), pp. 60–65.
DACDAC-1987-Chowdhury #automation #design
An Automated Design of Minimum-Area IC Power/Ground Nets (SC), pp. 223–229.
DACDAC-1987-JainPP #design #pipes and filters #predict #trade-off
Predicting Area-Time Tradeoffs for Pipelined Design (RJ, ACP, NP), pp. 35–41.
DACDAC-1987-LursinsapG
Improving a PLA Area by Pull-Up Transistor Folding (CL, DG), pp. 608–614.
DACDAC-1986-KurdahiP #estimation #named
PLEST: a program for area estimation of VLSI integrated circuits (FJK, ACP), pp. 467–473.
LICSLICS-1986-Nerode #research
A Logician Looks at Expert Systems: Areas for Mathematical Research (Abstract of Invited Lecture) (AN), p. 120.
DACDAC-1985-ChowdhuryB
The construction of minimal area power and ground nets for VLSI circuits (SUC, MAB), pp. 794–797.
PODSPODS-1985-PageP #distributed #network
Distributed Management in Local Area Networks (TWPJ, GJP), pp. 135–142.
ICALPICALP-1985-BilardiP #complexity #sorting
The Influence of Key Length on the Area-Time Complexity of Sorting (GB, FPP), pp. 53–62.
ICSEICSE-1985-HattoriKW #ada #comparison #lisp #programming #prolog
A Comparison of Lisp, Prolog, and Ada Programming Productivity an AI Areas (FH, KK, TW), pp. 285–291.
DACDAC-1984-KozminskiK #algorithm #graph
An algorithm for finding a rectangular dual of a planar graph for use in area planning for VLSI integrated circuits (KK, EK), pp. 655–656.
STOCSTOC-1984-BilardiP #network #sorting
A Minimum Area VLSI Network for O(log n) Time Sorting (GB, FPP), pp. 64–70.
STOCSTOC-1984-Blum #layout #trade-off
An Area-Maximum Edge Length Tradeoff for VLSI Layout (NB), pp. 92–97.
ICALPICALP-1984-MehlhornP #integer #multi
Area-Time Optimal VLSI Integer Multiplier with Minimum Computation Time (KM, FPP), pp. 347–357.
POPLPOPL-1984-ShashaPE #network #protocol #verification
Temporal Verification of Carrier-Sense Local Area Network Protocols (DS, AP, WE), pp. 54–65.
DACDAC-1983-GranackiP #design #performance #trade-off
The effect of register-transfer design tradeoffs on chip area and performance (JJG, ACP), pp. 419–424.
DACDAC-1983-LiuA #bound
Bounds on the saved area ratio due to PLA folding (WL, DEA), pp. 538–544.
DACDAC-1982-CiesielskiK
An analytical method for compacting routing area in integrated circuits (MJC, EK), pp. 30–37.
DACDAC-1981-Montoye #performance
Area-time efficient addition in charge based technology (RKM), pp. 862–872.
DACDAC-1981-Paillotin #optimisation
Optimization of the PLA area (JFP), pp. 406–410.
ICALPICALP-1981-PreparataV #fourier #integer #multi #network
Area-Time Optimal VLSI Networks for Computing Integer Multiplications and Discrete Fourier Transform (FPP, JV), pp. 29–40.
STOCSTOC-1979-Thompson #complexity
Area-Time Complexity for VLSI (CDT), pp. 81–88.
DACDAC-1972-Oishi #problem #specification
Problem areas and the combination of wall-units to satisfy room relation specification between rooms (IO), pp. 239–249.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.