369 papers:
- CASE-2015-GallertLRJT #2d #3d #biology #industrial #scalability #throughput
- Biological high throughput screening of 2D and 3D cell cultures for future industrial up-scaling (CG, RL, TR, SJ, KT), pp. 1527–1532.
- DAC-2015-WangJZWY15a #memory management
- Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory (RW, LJ, YZ, LW, JY), p. 6.
- DATE-2015-AwanoHS #named #performance #probability
- ECRIPSE: an efficient method for calculating RTN-induced failure probability of an SRAM cell (HA, MH, TS), pp. 549–554.
- DATE-2015-BillointSRVBFRC #2d #3d #design #using
- A comprehensive study of monolithic 3D cell on cell design using commercial 2D tool (OB, HS, IR, MV, PB, CFB, OR, GC, FD, AF, JM, OF, OT, JFC, ST, FC), pp. 1192–1196.
- DATE-2015-ChungSS #identification
- Identifying redundant inter-cell margins and its application to reducing routing congestion (WC, SS, YS), pp. 1659–1664.
- DATE-2015-KauerNLSC #geometry #optimisation #programming #using
- Inductor optimization for active cell balancing using geometric programming (MK, SN, ML, SS, SC), pp. 281–284.
- DATE-2015-LinH #memory management #named
- HLC: software-based half-level-cell flash memory (HYL, JWH), pp. 936–941.
- DATE-2015-LuLJLHCL #standard
- Simultaneous transistor pairing and placement for CMOS standard cells (AL, HJL, EJJ, YPL, CHH, CCC, RBL), pp. 1647–1652.
- DATE-2015-SteinhorstL #composition #identification
- Topology identification for smart cells in modular batteries (SS, ML), pp. 1249–1252.
- DATE-2015-VatajeluRIRPF #estimation #metric #robust
- Read/write robustness estimation metrics for spin transfer torque (STT) MRAM cell (EIV, RRM, MI, MR, PP, JF), pp. 447–452.
- CHI-2015-YaoOCSWWI #interface #named
- bioLogic: Natto Cells as Nanoactuators for Shape Changing Interfaces (LY, JO, CYC, HS, WW, GW, HI), pp. 1–10.
- MLDM-2015-Perner #automation #feature model #image #mining
- Automatic Cell Tracking and Kinetic Feature Description of Cell Paths for Image Mining (PP), pp. 441–451.
- SAC-2015-GonzalezRP #classification #image
- Computationally-efficient classification of HEp-2 cell patterns in IIF images (LFPG, DDAR, MSP), pp. 825–830.
- CAV-2015-FisherKPW #execution #network
- Synthesising Executable Gene Regulatory Networks from Single-Cell Gene Expression Data (JF, ASK, NP, SW), pp. 544–560.
- CASE-2014-RenfrewHHC #automation #image #segmentation
- Automated segmentation and characterization of ion-abrasion scanning electron microscopy fuel cell images (MR, NH, AH, MCC), pp. 56–60.
- DAC-2014-BokhariJSHP #design #energy #multi #named
- darkNoC: Designing Energy-Efficient Network-on-Chip with Multi-Vt Cells for Dark Silicon (HB, HJ, MS, JH, SP), p. 6.
- DAC-2014-ChangJC #configuration management #functional #using
- Functional ECO Using Metal-Configurable Gate-Array Spare Cells (HYC, IHRJ, YWC), p. 6.
- DATE-2014-DuW #optimisation #process #standard
- Optimization of standard cell based detailed placement for 16 nm FinFET process (YD, MDFW), pp. 1–6.
- DATE-2014-FabrieEVG #design #library #standard #variability
- Standard cell library tuning for variability tolerant designs (SF, JDE, MV, JPdG), pp. 1–6.
- DATE-2014-JunsangsriLH #concurrent #detection #hybrid
- A hybrid non-volatile SRAM cell with concurrent SEU detection and correction (PJ, FL, JH), pp. 1–4.
- DATE-2014-KhanAHKKRC #analysis #bias
- Bias Temperature Instability analysis of FinFET based SRAM cells (SK, IA, SH, HK, BK, PR, FC), pp. 1–6.
- DATE-2014-KiamehrFET #design #library #standard
- Aging-aware standard cell library design (SK, FF, ME, MBT), pp. 1–4.
- DATE-2014-LangeSJHLS #correlation #modelling #parametricity #probability #standard
- Probabilistic standard cell modeling considering non-Gaussian parameters and correlations (AL, CS, RJ, JH, IL, US), pp. 1–4.
- DATE-2014-Lauwereins
- Interfacing to living cells (RL), pp. 1–3.
- DATE-2014-NaqviS #resource management
- A tree arbiter cell for high speed resource sharing in asynchronous environments (SRN, AS), pp. 1–6.
- DATE-2014-NarayanaswamySLKC #architecture
- Optimal dimensioning of active cell balancing architectures (SN, SS, ML, MK, SC), pp. 1–6.
- DATE-2014-TangZS #design #development #performance
- System-level design methodology enabling fast development of baseband MP-SoC for 4G small cell base station (ST, ZZ, YS), pp. 1–6.
- ICPR-2014-BayramogluKEANKH #approach #detection #image #machine learning #using
- Detection of Tumor Cell Spheroids from Co-cultures Using Phase Contrast Images and Machine Learning Approach (NB, MK, LE, MA, MN, JK, JH), pp. 3345–3350.
- ICPR-2014-EnsafiLKT #automation #classification #image
- Automatic CAD System for HEp-2 Cell Image Classification (SE, SL, AAK, CLT), pp. 3321–3326.
- ICPR-2014-ForsbergM #image #segmentation
- Evaluating Cell Nuclei Segmentation for Use on Whole-Slide Images in Lung Cytology (DF, NM), pp. 3380–3385.
- ICPR-2014-HuDG #experience #learning #online #recognition #visual notation
- Online Regression of Grandmother-Cell Responses with Visual Experience Learning for Face Recognition (JH, WD, JG), pp. 4606–4611.
- ICPR-2014-MajtnerSS #image #named #performance
- RSURF — The Efficient Texture-Based Descriptor for Fluorescence Microscopy Images of HEP-2 Cells (TM, RS, DS), pp. 1194–1199.
- ICPR-2014-NeumannHKKB #classification #image
- Erosion Band Features for Cell Phone Image Based Plant Disease Classification (MN, LH, BK, KK, CB), pp. 3315–3320.
- OOPSLA-2014-BarowyGB #debugging #named #spreadsheet
- CheckCell: data debugging for spreadsheets (DWB, DG, EDB), pp. 507–523.
- SAC-2014-ShinJLY #automaton #design
- Design of a cellular automata cell with rule 30 on quantum-dot cellular automata (SHS, JCJ, GJL, KYY), pp. 1749–1750.
- CAV-2014-HuangFMMK #automaton #hybrid #invariant #network #verification
- Invariant Verification of Nonlinear Hybrid Automata Networks of Cardiac Cells (ZH, CF, AM, SM, MZK), pp. 373–390.
- CASE-2013-FerreiraR #comparison #design #layout #performance #simulation #using
- Performance comparison of the virtual cell layout with cellular and job shop configurations using simulation and design of experiments (JCEF, PAR), pp. 795–800.
- CASE-2013-LanzaKPSS #analysis #automation #detection #fault #using
- Automated optical detection of particles and defects on a Li-Ion-cell surface using a single-point analysis (GL, AK, SP, AS, SS), pp. 675–680.
- DAC-2013-KauerNSLCH #architecture #composition #concurrent
- Modular system-level architecture for concurrent cell balancing (MK, SN, SS, ML, SC, LH), p. 10.
- DAC-2013-KleebergerGS #evaluation #modelling #performance #predict #standard
- Predicting future product performance: modeling and evaluation of standard cells in FinFET technologies (VK, HEG, US), p. 6.
- DAC-2013-Miskov-ZivanovMF #analysis #automation #behaviour #design #network
- Dynamic behavior of cell signaling networks: model design and analysis automation (NMZ, DM, JRF), p. 6.
- DAC-2013-XuNMJX #comprehension #design #memory management #multi #trade-off
- Understanding the trade-offs in multi-level cell ReRAM memory design (CX, DN, NM, NPJ, YX), p. 6.
- ICDAR-2013-MauroEF #web
- Finding Critical Cells in Web Tables with SRL: Trying to Uncover the Devil’s Tease (NDM, FE, SF), pp. 882–886.
- ICDAR-2013-SethN
- Segmenting Tables via Indexing of Value Cells by Table Headers (SCS, GN), pp. 887–891.
- DUXU-WM-2013-Iitaka #using
- ARS Module of Contents Management System Using Cell Phones (TI), pp. 682–690.
- HIMI-HSM-2013-SavoyM #flexibility #interactive #safety
- A Precursory Look at Potential Interaction Objectives Affecting Flexible Robotic Cell Safety (AS, AM), pp. 198–206.
- HPCA-2013-KhanAWKJ #architecture #manycore #performance #using
- Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
- CASE-2012-ChowdhuryTWSLG #automation #biology #using
- Automated indirect transport of biological cells with optical tweezers using planar gripper formations (SC, AT, CW, PS, WL, SKG), pp. 267–272.
- CASE-2012-FelekisWMRBSN #automation #using
- Automated stiffness characterization of living tobacco BY2 cells using the Cellular Force Microscope (DF, AW, SM, ALRK, FB, RSS, BJN), pp. 285–290.
- DAC-2012-ChangJC #configuration management #optimisation #using
- Timing ECO optimization using metal-configurable gate-array spare cells (HYC, IHRJ, YWC), pp. 802–807.
- DAC-2012-JiangZZY #embedded #multi #performance #scalability
- Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors (LJ, BZ, YZ, JY), pp. 907–912.
- DAC-2012-JimenezNI
- Software controlled cell bit-density to improve NAND flash lifetime (XJ, DN, PI), pp. 229–234.
- DAC-2012-LiuAHG #standard
- Standard cell sizing for subthreshold operation (BL, MA, JH, JPdG), pp. 962–967.
- DAC-2012-RyzhenkoB #satisfiability #standard
- Standard cell routing via boolean satisfiability (NR, SB), pp. 603–612.
- DATE-2012-BesteT #analysis #robust #standard
- Layout-Driven Robustness Analysis for misaligned Carbon Nanotubes in CNTFET-based standard cells (MB, MBT), pp. 1609–1614.
- DATE-2012-MakosiejTVA #design #embedded #optimisation #power management
- Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization (AM, OT, AV, AA), pp. 93–98.
- VLDB-2012-HallBBGN
- Processing a Trillion Cells per Mouse Click (AH, OB, RB, SG, MN), pp. 1436–1446.
- VLDB-2012-LiarouIMK #named #online #streaming
- MonetDB/DataCell: Online Analytics in a Streaming Column-Store (EL, SI, SM, MLK), pp. 1910–1913.
- STOC-2012-Larsen #complexity
- The cell probe complexity of dynamic range counting (KGL), pp. 85–94.
- CIAA-2012-EhrenfeuchtR #framework #process
- A Formal Framework for Processes Inspired by the Functioning of Living Cells (AE, GR), pp. 25–27.
- ICPR-2012-AliGBPNP #biology #classification #using
- Classification of biological cells using bio-inspired descriptors (WBHA, DG, MB, PP, RN, TP), pp. 3353–3357.
- ICPR-2012-CataldoBFM #classification #image
- Applying textural features to the classification of HEp-2 cell patterns in IIF images (SDC, AB, EF, EM), pp. 3349–3352.
- ICPR-2012-ErsoyBPP #classification #image #using
- HEp-2 cell classification in IIF images using Shareboost (IE, FB, JP, KP), pp. 3362–3365.
- ICPR-2012-KhanER #detection #image
- A Gamma-Gaussian mixture model for detection of mitotic cells in breast cancer histopathology images (AMK, HED, NMR), pp. 149–152.
- ICPR-2012-LiYLKZL #classification #multi #using
- Multiclass boosting SVM using different texture features in HEp-2 cell staining pattern classification (KL, JY, ZL, XK, RZ, WL), pp. 170–173.
- ICPR-2012-Nagy #learning #web
- Learning the characteristics of critical cells from web tables (GN), pp. 1554–1557.
- ICPR-2012-SommerFHG #detection #image
- Learning-based mitotic cell detection in histopathological images (CS, LF, FAH, DG), pp. 2306–2309.
- ICPR-2012-ThibaultA #classification #performance #statistics
- Efficient statistical/morphological cell texture characterization and classification (GT, JA), pp. 2440–2443.
- ICPR-2012-WangOJBK #using
- Tracking Tetrahymena pyriformis cells using decision trees (QW, YO, AAJ, KLB, MK), pp. 1843–1847.
- ICPR-2012-XiongCLLSA #image #reduction #segmentation
- Segmentation of neural stem cells/neurospheres in unevenly illuminated brightfield images with shading reduction (WX, SCC, JHL, HKL, SS, SA), pp. 1623–1626.
- MLDM-2012-StaroszczykOM #analysis #comparative #feature model #recognition
- Comparative Analysis of Feature Selection Methods for Blood Cell Recognition in Leukemia (TS, SO, TM), pp. 467–481.
- SEKE-2012-Lozano-FuentesGBSEWHGT #using
- Using Cell Phones for Mosquito Vector Surveillance and Control (SLF, SG, JMB, DS, LE, FW, EHG, JGR, DTC), pp. 763–767.
- SAC-2012-MontagnaPV #development
- A model for drosophila melanogaster development from a single cell to stripe pattern formation (SM, DP, MV), pp. 1406–1412.
- CAV-2012-Dill #biology #model checking
- Model Checking Cell Biology (DLD), p. 2.
- CASE-2011-KloetzerG
- Software tool for constructing cell decompositions (MK, NG), pp. 507–512.
- CASE-2011-KoyamaOHTMA #automation #multi
- Multiple cell suction and supply system for automated cell manipulation on microfluidic channel (NK, KO, AH, TT, YM, TA), pp. 678–683.
- CASE-2011-YonezawaKZIHSIYF #approach #experience #performance
- Long-term operational experience with a robot cell production system controlled by low carbon-footprint Senju (thousand-handed) Kannon Model robots and an approach to improving operating efficiency (HY, HK, MZ, KI, NH, YS, MY, TF), pp. 291–298.
- DAC-2011-CevreroRSBIL #library #logic #power management #standard
- Power-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell library (AC, FR, MS, SB, PI, YL), pp. 1014–1019.
- DAC-2011-CongLS #3d
- Thermal-aware cell and through-silicon-via co-placement for 3D ICs (JC, GL, YS), pp. 670–675.
- DAC-2011-Li #memory management
- Rethinking memory redundancy: optimal bit cell repair for maximum-information storage (XL0), pp. 316–321.
- DAC-2011-MirandaRBW #design #modelling #standard #statistics #using
- Statistical characterization of standard cells using design of experiments with response surface modeling (MM, PR, LB, GIW), pp. 77–82.
- DAC-2011-ZhouJBHS #library #standard
- A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library (JZ, SJ, BB, LH, JS), pp. 441–446.
- DATE-2011-LiZY
- Proactive recovery for BTI in high-k SRAM cells (LL, YZ, JY), pp. 992–997.
- DATE-2011-LopezMBPGE #design #interface #process #programmable
- Systematic design of a programmable low-noise CMOS neural interface for cell activity recording (CML, SM, CB, RP, GGEG, WE), pp. 818–823.
- DATE-2011-RahmanTS #reduction
- Power reduction via near-optimal library-based cell-size selection (MR, HT, CS), pp. 867–870.
- DATE-2011-TsengHWFC #black box #compilation #library #modelling #power management
- Black-box leakage power modeling for cell library and SRAM compiler (CKT, SYH, CCW, SCF, JJC), pp. 637–642.
- ICDAR-2011-TanakaTH #documentation #optimisation #robust
- Robust Cell Extraction Method for Form Documents Based on Intersection Searching and Global Optimization (HT, HT, YH), pp. 354–358.
- ICALP-v1-2011-CliffordJ #bound #integer #multi #online
- Lower Bounds for Online Integer Multiplication and Convolution in the Cell-Probe Model (RC, MJ), pp. 593–604.
- HCI-MIIE-2011-HondaSN #process #recognition #risk management
- Activity Recognition for Risk Management with Installed Sensor in Smart and Cell Phone (DH, NS, SN), pp. 230–239.
- KDIR-2011-DAcuntoBDLPPS #3d #mining #re-engineering
- Inferential Mining for Reconstruction of 3D Cell Structures in Atomic Force Microscopy Imaging (MD, SB, SD, ML, MP, AP, OS), pp. 348–353.
- HPCA-2011-GhasemiDK #architecture #using
- Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
- HPCA-2011-JoshiZL #energy #memory management #multi #named #performance
- Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system (MJ, WZ, TL), pp. 345–356.
- SOSP-2011-AndrusDHLN #architecture #mobile #named #smarttech
- Cells: a virtual mobile smartphone architecture (JA, CD, AVH, OL, JN), pp. 173–187.
- CAV-2011-GrosuBFGGSB #network #search-based
- From Cardiac Cells to Genetic Regulatory Networks (RG, GB, FHF, JG, CLG, SAS, EB), pp. 396–411.
- VMCAI-2011-Dill
- Are Cells Asynchronous Circuits? — (Invited Talk) (DLD), p. 1.
- ECSA-2010-MarcoGII #adaptation #learning #lifecycle #paradigm #self
- Learning from the Cell Life-Cycle: A Self-adaptive Paradigm (ADM, FG, PI, RI), pp. 485–488.
- CASE-2010-AllenT #consistency #detection #fault #industrial #nondeterminism
- Event-based fault detection of manufacturing cell: Data inconsistencies between academic assumptions and industry practice (LVA, DMT), pp. 426–432.
- CASE-2010-HuSF #analysis #biology #using
- Dynamics analysis and closed-loop control of biological cells in transportation using robotic manipulation system with optical tweezers (SH, DS, GF), pp. 240–245.
- CASE-2010-LangWCW #3d #assembly
- Integrated system for 3D assembly of bio-scaffolds and cells (ML, WW, XC, TBFW), pp. 786–791.
- CASE-2010-Puffer #automation #challenge
- Automation challenges and opportunities for high volume manufacture of proton exchange membrane fuel cell stacks (RHP), pp. 287–292.
- DAC-2010-FonsecaDBGPVB #analysis #reliability #simulation #statistics
- A statistical simulation method for reliability analysis of SRAM core-cells (RAF, LD, AB, PG, SP, AV, NB), pp. 853–856.
- DATE-2010-ChenLTL #design #power management #standard
- Power gating design for standard-cell-like structured ASICs (SYC, RBL, HHT, KWL), pp. 514–519.
- DATE-2010-GrottesiMRB #animation #parallel
- Parallel subdivision surface rendering and animation on the Cell BE processor (RG, SM, MR, LB), pp. 178–183.
- DATE-2010-RaffelsieperMS #library
- Checking and deriving module paths in Verilog cell library descriptions (MR, MRM, CWHS), pp. 1506–1511.
- DATE-2010-SterponeB #algorithm #multi
- A new placement algorithm for the mitigation of multiple cell upsets in SRAM-based FPGAs (LS, NB), pp. 1231–1236.
- DATE-2010-WieckowskiSBCIPA #analysis #black box
- A black box method for stability analysis of arbitrary SRAM cell structures (MW, DS, DB, VC, SI, CP, RCA), pp. 795–800.
- STOC-2010-MicciancioV #algorithm #exponential #problem
- A deterministic single exponential time algorithm for most lattice problems based on voronoi cell computations (DM, PV), pp. 351–358.
- ICALP-v1-2010-GreveJLT #approximate #bound
- Cell Probe Lower Bounds and Approximations for Range Mode (MG, AGJ, KDL, JT), pp. 605–616.
- CHI-2010-LiaoLLW #fine-grained #gesture #hybrid #interactive #named
- Pacer: fine-grained interactive paper via camera-touch hybrid gestures on a cell phone (CL, QL, BL, LW), pp. 2441–2450.
- ICPR-2010-BecattiniMC #injection
- Anisotropic Contour Completion for Cell Microinjection Targeting (GB, LSM, DGC), pp. 2262–2265.
- ICPR-2010-ChowdhuryCGR #graph #using #video
- Cell Tracking in Video Microscopy Using Bipartite Graph Matching (ASC, RC, MG, NR), pp. 2456–2459.
- ICPR-2010-JagerPCC #3d #analysis #using
- Dual Channel Colocalization for Cell Cycle Analysis Using 3D Confocal Microscopy (SJ, KP, CSCD, MCC), pp. 2580–2583.
- ICPR-2010-KaleA #image #segmentation
- Segmentation of Cervical Cell Images (AK, SA), pp. 2399–2402.
- ICPR-2010-KeuperSPHPBR #3d #parametricity #robust #self
- 3D Deformable Surfaces with Locally Self-Adjusting Parameters — A Robust Method to Determine Cell Nucleus Shapes (MK, TS, JP, PH, KP, HB, OR), pp. 2254–2257.
- ICPR-2010-LeskoKNGTVV #graph #segmentation
- Live Cell Segmentation in Fluorescence Microscopy via Graph Cut (ML, ZK, AN, IG, ZT, LVJ, LV), pp. 1485–1488.
- ICPR-2010-LuLLY #automation #classification #image
- Automated Cell Phase Classification for Zebrafish Fluorescence Microscope Images (YL, JL, TL, JY), pp. 2584–2587.
- ICPR-2010-MollerSHP #modelling #segmentation
- Cascaded Segmentation of Grained Cell Tissue with Active Contour Models (BM, NS, SH, SP), pp. 1481–1484.
- ICPR-2010-QuelhasMC #3d #quantifier #using
- 3D Cell Nuclei Fluorescence Quantification Using Sliding Band Filter (PQ, AMM, ACC), pp. 2508–2511.
- ICPR-2010-SertelCLSG #analysis #approach #detection #image
- An Image Analysis Approach for Detecting Malignant Cells in Digitized H&E-stained Histology Images of Follicular Lymphoma (OS, ÜVÇ, GL, AS, MNG), pp. 273–276.
- ICPR-2010-SunVFT #automation #detection
- Automated Detection of Nucleoplasmic Bridges for DNA Damage Scoring in Binucleated Cells (CS, PV, MF, PT), pp. 2480–2483.
- ICPR-2010-XiongOL #algorithm #composition #recursion
- A Recursive and Model-Constrained Region Splitting Algorithm for Cell Clump Decomposition (WX, SHO, JHL), pp. 4416–4419.
- PPoPP-2010-AliMP #algorithm #communication #modelling
- Modeling advanced collective communication algorithms on cell-based systems (QA, SPM, VSP), pp. 293–304.
- DAC-2009-ChenCH #design #information retrieval #order
- New spare cell design for IR drop minimization in Engineering Change Order (HTC, CCC, TH), pp. 402–407.
- DAC-2009-JiangCCH #design #low cost
- Matching-based minimum-cost spare cell selection for design changes (IHRJ, HYC, LGC, HBH), pp. 408–411.
- DAC-2009-JiangHCC #multi
- Spare-cell-aware multilevel analytical placement (ZWJ, MKH, YWC, KYC), pp. 430–435.
- DAC-2009-ShengXM #algorithm #fault #multi #optimisation #search-based #standard
- Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm (WS, LX, ZM), pp. 502–507.
- DATE-2009-BobbaZPAM #design #logic #standard #synthesis
- Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis (SB, JZ, AP, DA, GDM), pp. 616–621.
- DATE-2009-Held #design #scalability
- Gate sizing for large cell-based designs (SH), pp. 827–832.
- DATE-2009-NeyDGPVBG #fault
- A new design-for-test technique for SRAM core-cell stability faults (AN, LD, PG, SP, AV, MB, VG), pp. 1344–1348.
- ICFP-2009-GazagnaireH #database #functional #named #performance #programming #transaction #using
- OXenstored: an efficient hierarchical and transactional database using functional programming with reference cell comparisons (TG, VH), pp. 203–214.
- CHI-2009-NylanderLB #internet #people #why
- At home and with computer access: why and where people use cell phones to access the internet (SN, TL, AB), pp. 1639–1642.
- HCD-2009-HashizumeKY #communication #difference
- Regional Difference in the Use of Cell Phone and Other Communication Media among Senior Users (AH, MK, TY), pp. 426–435.
- HCD-2009-MayerOFNKKS #assembly #self
- Cognitive Engineering for Direct Human-Robot Cooperation in Self-optimizing Assembly Cells (MPM, BO, MF, JN, WK, BK, CMS), pp. 1003–1012.
- HCI-AUII-2009-KimPS #3d #design
- Menu Design in Cell Phones: Use of 3D Menus (KK, RWP, GS), pp. 48–57.
- SAC-2009-VasudevanE #compilation #concurrent #manycore
- Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore (NV, SAE), pp. 1626–1631.
- CASE-2008-AnisHM #array #automation
- Automated vision-based selection and placement of single cells in microwell array formats (YHA, MH, DRM), pp. 315–320.
- CASE-2008-FujitaHSHITNTYN #multi
- Robot control cell production system of Senju (thousand-handed) Kannon model that demonstrated optimality to the multi-product production in varying volumes for eight years (TF, NH, YS, HH, KI, TT, MN, WT, HY, TN), pp. 478–485.
- CASE-2008-NandakumarHM #analysis #automation #flexibility #framework #interactive
- A flexible framework for automation of single cell and cell-to-cell interaction analyses (VN, MH, DRM), pp. 424–430.
- CASE-2008-PonnambalamPMS #network #using
- Modified ART1 neural networks for cell formation using production data (SGP, RSP, SSM, SS), pp. 603–608.
- CASE-2008-SunEHMMMBLM #analysis #automation #biology #integration #multi #user interface
- Integration of user interface, device control, data acquisition and analysis for automated multi-spectral imaging of single biological cells (CSS, JRE, MH, TWM, SKM, SM, LWB, MEL, DRM), pp. 1013–1018.
- DAC-2008-GoelV #analysis #modelling #standard #statistics
- Statistical waveform and current source based standard cell models for accurate timing analysis (AG, SBKV), pp. 227–230.
- DAC-2008-MenezesKA #grid #power management #verification
- A “true” electrical cell model for timing, noise, and power grid verification (NM, CVK, CSA), pp. 462–467.
- DAC-2008-PaikS #multi #optimisation #standard
- Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements (SP, YS), pp. 600–605.
- DATE-2008-AmelifardHFP #logic #multi #stack
- A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect (BA, SH, HF, MP), pp. 568–573.
- DATE-2008-BadelGIMVGL #design #difference #standard
- A Generic Standard Cell Design Methodology for Differential Circuit Styles (SB, EG, OI, APM, PV, FKG, YL), pp. 843–848.
- DATE-2008-DongZ #integration #logic #standard #synthesis
- Logic Synthesis with Nanowire Crossbar: Reality Check and Standard Cell-based Integration (MD, LZ), pp. 268–271.
- DATE-2008-GoelV #analysis #standard
- Current source based standard cell model for accurate signal integrity and timing analysis (AG, SBKV), pp. 574–579.
- DATE-2008-YoshidaF
- Performance-Constrained Different Cell Count Minimization for Continuously-Sized Circuits (HY, MF), pp. 1099–1102.
- ICALP-A-2008-Yin #complexity #nondeterminism #proving
- Cell-Probe Proofs and Nondeterministic Cell-Probe Complexity (YY), pp. 72–83.
- SFM-2008-ChiarugiDKM #approach
- Cells in Silico: A Holistic Approach (DC, PD, JBVK, RM), pp. 366–386.
- SFM-2008-FagesS #biology
- Formal Cell Biology in Biocham (FF, SS), pp. 54–80.
- ICPR-2008-CloppetB #biology #image #segmentation
- Segmentation of overlapping/aggregating nuclei cells in biological images (FC, AB), pp. 1–4.
- ICPR-2008-MartinezFRS #3d
- Three-dimensional cell counting for in-situ microscopy (GM, JGF, GR, TS), pp. 1–4.
- CGO-2008-ChenZST
- Prefetching irregular references for software cache on cell (TC, TZ, ZS, MGT), pp. 155–164.
- CASE-2007-JasperDF #automation #feedback #flexibility #named
- CameraMan — Robot Cell with Flexible Vision Feedback for Automated Nanohandling inside SEMs (DJ, CD, SF), pp. 51–56.
- CASE-2007-KobetskiRAF #behaviour
- Minimization of Expected Cycle Time in Manufacturing Cells with Uncontrollable Behavior (AK, JR, KÅ, MF), pp. 14–19.
- CASE-2007-MolterMZHBLM #algorithm #metric
- Algorithm Advancements for the Measurement of Single Cell Oxygen Consumption Rates (TWM, SM, MZ, MH, LWB, MEL, DRM), pp. 386–391.
- CASE-2007-YangCZN #framework #semantics #web
- A semantic web based framework for bio cell manipulation (RY, JC, LZ, GN), pp. 812–817.
- DAC-2007-HuKH #design
- Gate Sizing For Cell Library-Based Designs (SH, MK, JH), pp. 847–852.
- DAC-2007-JooCSC #energy #memory management #multi
- Energy-Aware Data Compression for Multi-Level Cell (MLC) Flash Memory (YJ, YC, DS, NC), pp. 716–719.
- DAC-2007-Tabor #parallel #programming
- Programming Living Cells to Function as Massively Parallel Computers (JJT), pp. 638–639.
- DAC-2007-Weiss #biology
- Synthetic biology: from bacteria to stem cells (RW), pp. 634–635.
- DATE-2007-GillPW #fault #interactive #power management #symmetry
- Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA (BSG, CAP, FGW), pp. 1460–1465.
- DATE-2007-KhanA #architecture #configuration management #implementation #pipes and filters #programmable #realtime
- Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
- DATE-2007-LinLTL #design #library #standard
- Double-via-driven standard cell library design (TYL, THL, HHT, RBL), pp. 1212–1217.
- DATE-2007-YeungTB #framework #interactive #interface #multi #novel
- Interactive presentation: Novel test infrastructure and methodology used for accelerated bring-up and in-system characterization of the multi-gigahertz interfaces on the cell processor (PY, AT, PB), pp. 725–730.
- VLDB-2007-GedikBY #named #performance #sorting
- CellSort: High Performance Sorting on the Cell Processor (BG, RB, PSY), pp. 1286–1207.
- VLDB-2007-GedikYB
- Executing Stream Joins on the Cell Processor (BG, PSY, RB), pp. 363–374.
- HCI-IPT-2007-ParkHS #design #effectiveness #multi
- To Effective Multi-modal Design for Ringtones, Ringback Tones and Vibration of Cell Phones (TP, WH, GS), pp. 429–437.
- HIMI-IIE-2007-KimCE07a #generative #mobile #user interface
- Dynamic Cell Phone UI Generation for Mobile Agents (GSK, HjC, YIE), pp. 563–571.
- PPoPP-2007-BlagojevicNSA #parallel
- Dynamic multigrain parallelization on the cell broadband engine (FB, DSN, AS, CDA), pp. 90–100.
- CASE-2006-AndersonRLF #distributed #multi
- Sinthesis of hierarchical and distributed control functions for multi-product manufacturing cells (KA, JR, BL, MF), pp. 325–330.
- CASE-2006-ChaoSZJM #analysis #automation #information management #using
- Single-cell information extraction and viability analysis using automated microscopy (ShC, TJS, SZ, KAJI, DRM), pp. 33–38.
- CASE-2006-KobetskiSF #algorithm #comparison #coordination #scheduling
- Scheduling algorithms for optimal robot cell coordination — a comparison (AK, DS, MF), pp. 381–386.
- CASE-2006-ZhangC #framework #simulation
- A Simulation Framework for Cell Manipulation (LZ, JC), pp. 27–32.
- DAC-2006-AgarwalN #analysis #statistics
- Statistical analysis of SRAM cell stability (KA, SRN), pp. 57–62.
- DAC-2006-AminKMKC #library #multi
- A multi-port current source model for multiple-input switching effects in CMOS library cells (CSA, CVK, NM, KK, EC), pp. 247–252.
- DAC-2006-AziziN #product line
- A family of cells to reduce the soft-error-rate in ternary-CAM (NA, FNN), pp. 779–784.
- DAC-2006-CaoDH #standard
- Standard cell characterization considering lithography induced variations (KC, SD, JH), pp. 801–804.
- DAC-2006-FatemiNP #analysis #logic #statistics #using
- Statistical logic cell delay analysis using a current-based model (HF, SN, MP), pp. 253–256.
- DAC-2006-KimSKE #design #physics #power management #standard
- Physical design methodology of power gating circuits for standard-cell-based design (HOK, YS, HK, IE), pp. 109–112.
- DAC-2006-ShahGK #library #optimisation #reduction #standard
- Standard cell library optimization for leakage reduction (SS, PG, ABK), pp. 983–986.
- DAC-2006-ShamYC
- Optimal cell flipping in placement and floorplanning (CWS, EFYY, CCNC), pp. 1109–1114.
- DAC-2006-ShimizuGKOAMS #verification
- Verification of the cell broadband engineTM processor (KS, SG, TK, TO, JA, LM, TS), pp. 338–343.
- DAC-2006-ZhuoCCV #hybrid
- Extending the lifetime of fuel cell based hybrid systems (JZ, CC, NC, SBKV), pp. 562–567.
- DATE-2006-AmelifardFP #using
- Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment (BA, FF, MP), pp. 995–1000.
- DATE-2006-IizukaIA #layout #optimisation
- Timing-driven cell layout de-compaction for yield optimization by critical area minimization (TI, MI, KA), pp. 884–889.
- DATE-2006-NazarianP #analysis
- Cell delay analysis based on rate-of-current change (SN, MP), pp. 539–544.
- DATE-2006-YiNMKAL #configuration management #scheduling
- System-level scheduling on instruction cell based reconfigurable systems (YY, IN, MM, SK, TA, IL), pp. 381–386.
- DATE-DF-2006-MadingLPSBEH #architecture #fixpoint
- The vector fixed point unit of the synergistic processor element of the cell architecture processor (NM, JL, JP, RS, SB, SE, WH), pp. 244–248.
- CHI-2006-LudfordFRWT #functional
- Because I carry my cell phone anyway: functional location-based reminder applications (PJL, DF, KR, KW, LGT), pp. 889–898.
- ICPR-v1-2006-LiuPHCB #clustering #detection
- Detecting Virulent Cells of Cryptococcus Neoformans Yeast: Clustering Experiments (JL, PvdP, FH, XC, TB), pp. 1112–1115.
- ICPR-v2-2006-Huang #kernel #predict
- A New Kernel Based on Weighted Cross-Correlation Coefficient for SVMs and Its Application on Prediction of T-cell Epitopes (JH), pp. 691–694.
- CASE-2005-KoschwanezHCMGM #analysis #automation
- Automated lifetime analysis of a single yeast cell (JK, MH, RHC, MM, DG, DRM), pp. 13–18.
- DAC-2005-DililloGPVB #analysis #comparison #fault #injection
- Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies (LD, PG, SP, AV, MB), pp. 857–862.
- DATE-2005-BotaRRS #testing
- Smart Temperature Sensor for Thermal Testing of Cell-Based ICs (SAB, MR, JLR, JS), pp. 464–465.
- DATE-2005-ForzanP #analysis #behaviour #library #modelling
- Modeling the Non-Linear Behavior of Library Cells for an Accurate Static Noise Analysis (CF, DP), pp. 982–983.
- DRR-2005-MaD #identification #using
- Font identification using the grating cell texture operator (HM, DSD), pp. 148–156.
- ICDAR-2005-IshitaniFS #analysis #classification #documentation #xml
- Table Structure Analysis Based on Cell Classification and Cell Modification for XML Document Transformation (YI, KF, KS), pp. 1247–1252.
- ICDAR-2005-LongDC #detection #documentation
- A Model for Detecting and Merging Vertically Spanned Table Cells in Plain Text Documents (VL, RD, SC), pp. 1242–1246.
- FoSSaCS-2005-AbbesB #branch #probability
- Branching Cells as Local States for Event Structures and Nets: Probabilistic Applications (SA, AB), pp. 95–109.
- STOC-2005-CryanDR #approximate #bound
- Approximately counting integral flows and cell-bounded contingency tables (MC, MED, DR), pp. 413–422.
- DLT-2005-AlhazovFO
- Tissue P Systems with Antiport Rules and Small Numbers of Symbols and Cells (AA, RF, MO), pp. 100–111.
- DLT-2005-ElkharratF
- Voronoi Cells of β-Integers (AE, CF), pp. 209–223.
- KDD-2005-Barabasi #architecture #complexity #network #web
- The architecture of complexity: the structure and the dynamics of networks, from the web to the cell (ALB), p. 3.
- HPCA-2005-Hofstee #architecture #performance
- Power Efficient Processor Architecture and The Cell Processor (HPH), pp. 258–262.
- DAC-2004-RanM #configuration management #design #on the
- On designing via-configurable cell blocks for regular fabrics (YR, MMS), pp. 198–203.
- DAC-2004-YoshidaDB #estimation #standard
- Accurate pre-layout estimation of standard cell characteristics (HY, KD, VB), pp. 208–211.
- DATE-DF-2004-RenWBLLD #design
- A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications (BR, AW, JB, KL, WL, WWMD), pp. 280–285.
- DATE-v1-2004-BabighianBM04a #distributed
- Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating (PB, LB, EM), pp. 720–723.
- CHI-2004-AmantHR #evaluation #interactive #modelling
- Model-based evaluation of cell phone menu interaction (RSA, TEH, FER), pp. 343–350.
- ICPR-v3-2004-GurevichM #analysis #image
- Method for Early Diagnostics of Lymphatic System Tumors on the Basis of the Analysis of Chromatin Constitution in Cell Nucleus Images (IBG, DM), pp. 806–809.
- KR-2004-TranB #interactive #prolog #reasoning
- Reasoning about Triggered Actions in AnsProlog and Its Application to Molecular Interactions in Cells (NT, CB), pp. 554–564.
- SAC-2004-LeccaPLC #predict #probability #π-calculus
- Predicting cell adhesion probability via the biochemical stochastic π-calculus (PL, CP, CL, GC), pp. 211–212.
- SAC-2004-WebbW #analysis #biology #synthesis
- Combining analysis and synthesis in a model of a biological cell (KW, TW), pp. 185–190.
- DAC-2003-CroixW #analysis #modelling #using
- Blade and razor: cell and interconnect delay analysis using current-based models (JFC, DFW), pp. 386–389.
- DAC-2003-HuWKM #library
- Gain-based technology mapping for discrete-size cell libraries (BH, YW, AK, MMS), pp. 574–579.
- DATE-2003-Al-ArsGBR #fault #optimisation #simulation #testing #using
- Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation (ZAA, AJvdG, JB, DR), pp. 10484–10489.
- DATE-2003-IskanderDAMHSM #synthesis #using
- Synthesis of CMOS Analog Cells Using AMIGO (RI, MD, MA, MM, NH, NS, SM), pp. 20297–20302.
- DATE-2003-LiuC #approach #fault #identification
- A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis (CL, KC), pp. 10230–10237.
- DATE-2003-LoKWH #design #identification #standard
- A Custom-Cell Identification Method for High-Performance Mixed Standard/Custom-Cell Designs (JYLL, WAK, ACHW, TH), pp. 11102–11103.
- STOC-2003-JayramKKR #bound #problem
- Cell-probe lower bounds for the partial match problem (TSJ, SK, RK, YR), pp. 667–672.
- ICALP-2003-GalM #complexity #data type
- The Cell Probe Complexity of Succinct Data Structures (AG, PBM), pp. 332–344.
- DAC-2002-Sheehan #predict
- Osculating Thevenin model for predicting delay and slew of capacitively characterized cells (BNS), pp. 866–869.
- DocEng-2002-TubbsE
- Recognizing records from the extracted cells of microfilm tables (KMT, DWE), pp. 149–156.
- DLT-2002-HarjuR #assembly #process
- Computational Processes in Living Cells: Gene Assembly in Ciliates (TH, GR), pp. 1–20.
- DLT-2002-UmeoK #automaton #communication #infinity #realtime #sequence
- An Infinite Prime Sequence Can Be Generated in Real-Time by a 1-Bit Inter-cell Communication Cellular Automaton (HU, NK), pp. 339–348.
- ICPR-v1-2002-AblameykoKLPPPT #difference #image #segmentation
- From Cell Image Segmentation to Differential Diagnosis of Thyroid Cancer (SA, VK, DL, OP, NP, MP, OT), pp. 763–766.
- ICPR-v2-2002-PernerPM #classification
- Texture Classification Based on the Boolean Model and its Application to Hep-2 Cells (PP, HP, BM), pp. 406–409.
- ICPR-v2-2002-ZimmerLMGO #segmentation
- Improving Active Contours for Segmentation and Tracking of Motile Cells in Videomicroscopy (CZ, EL, VMY, NG, JCOM), pp. 286–289.
- KDD-2002-FangHL #identification #using
- Tumor cell identification using features rules (BF, WH, MLL), pp. 495–500.
- ECOOP-2002-RinatS #composition #internet #programming
- Modular Internet Programming with Cells (RR, SFS), pp. 257–280.
- SAC-2002-ChangJ #clustering #data mining #mining #scalability
- A new cell-based clustering method for large, high-dimensional data in data mining applications (JWC, DSJ), pp. 503–507.
- DAC-2001-SanieCHM #design #standard
- A Practical Application of Full-Feature Alternating Phase-Shifting Technology for a Phase-Aware Standard-Cell Design Flow (MS, MC, PH, VM), pp. 93–96.
- DATE-2001-Al-ArsG #array #behaviour #embedded #memory management
- Static and dynamic behavior of memory cell array opens and shorts in embedded DRAMs (ZAA, AJvdG), pp. 496–503.
- DATE-2001-Fiori
- Susceptibility of analog cells to substrate interference (FF), p. 814.
- ICDAR-2001-ShinjoHMSS #analysis #recognition #recursion
- A Recursive Analysis for Form Cell Recognition (HS, EH, KM, YS, HS), pp. 694–698.
- STOC-2001-Pagh #complexity #on the
- On the cell probe complexity of membership and perfect hashing (RP), pp. 425–432.
- ICALP-2001-SenV #bound #quantum
- Lower Bounds in the Quantum Cell Probe Model (PS, SV), pp. 358–369.
- SAC-2001-ChenLCC #multi #parametricity #performance
- The sustainable-cell-rate usage parameter control with adjustable window for high-speed multimedia communications (SyC, LFL, CSC, CJC), pp. 467–471.
- SAC-2001-SongNC #similarity
- A cell-based index structure for similarity search in high-dimensional feature spaces (KTS, HJN, JWC), pp. 264–268.
- DATE-2000-Perez-MontesMDFR #named
- XFridge: A SPICE-Based, Portable, User-Friendly Cell-Level Sizing Tool (FMPM, FM, RDC, FVF, ÁRV), p. 739.
- STOC-2000-BarkolR #bound #nearest neighbour #problem
- Tighter bounds for nearest neighbor search and related problems in the cell probe model (OB, YR), pp. 388–396.
- WLC-2000-BuchholzKK #array #communication #nondeterminism
- Iterative Arrays With Limited Nondeterministic Communication Cell (TB, AK, MK), pp. 73–87.
- ICPR-v1-2000-NedzvedAP #image #segmentation
- Morphological Segmentation of Histology Cell Images (AN, SA, IP), pp. 1500–1503.
- ICPR-v2-2000-Theera-UmponG #fault #network
- Training Neural Networks to Count White Blood Cells via a Minimum Counting Error Objective Function (NTU, PDG), pp. 2299–2302.
- ICPR-v4-2000-LeungCKC #bound #detection #fuzzy
- Thyroid Cancer Cells Boundary Location by a Fuzzy Edge Detection Method (CCL, FHYC, PCKK, WFC), pp. 4360–4363.
- DAC-1999-KapadiaH #automation #clustering #convergence #design #standard #using
- Using Partitioning to Help Convergence in the Standard-Cell Design Automation Methodology (HK, MH), pp. 592–597.
- DAC-1999-KrasnickiPRC #named #performance #synthesis
- MAELSTROM: Efficient Simulation-Based Synthesis for Custom Analog Cells (MK, RP, RAR, LRC), pp. 945–950.
- DAC-1999-YehKSW #design #layout
- Layout Techniques Supporting the Use of Dual Supply Voltages for Cell-based Designs (CWY, YSK, SJS, JSW), pp. 62–67.
- HCI-CCAD-1999-KumeST #agile #approach #integration
- Integration approach for agile manufacturing cell (YK, NS, YT), pp. 1256–1260.
- DAC-1998-IenneG #case study #design #experience #question #standard #tool support
- Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts? (PI, AG), pp. 396–401.
- DATE-1998-Montiel-NelsonASN #compilation #design
- A Cell and Macrocell Compiler for GaAs VLSI Full-Custom Design (JAMN, VdA, RS, AN), pp. 947–948.
- DATE-1998-RabeJKNO #performance #trade-off
- Power-Simulation of Cell Based ASICs: Accuracy- and Performance Trade-Offs (DR, GJ, LK, WN), pp. 356–361.
- DATE-1998-Vygen #algorithm #standard
- Algorithms for Detailed Placement of Standard Cells (JV), pp. 321–324.
- ICPR-1998-BamfordL #analysis #segmentation
- Bayesian analysis of cell nucleus segmentation by a Viterbi search based active contour (PB, BCL), pp. 133–135.
- ICPR-1998-KruizingaP #segmentation
- Grating cell operator features for oriented texture segmentation (PK, NP), pp. 1010–1014.
- ICPR-1998-PalauS #approximate #classification #nearest neighbour #performance
- The labelled cell classifier: a fast approximation to k nearest neighbors (AMP, RRS), pp. 823–827.
- ICPR-1998-Perner #analysis #classification #image
- Image analysis and classification of HEp-2 cells in fluorescent images (PP), pp. 1677–1679.
- DAC-1997-BaltusVADM #concurrent #generative #library #standard
- Developing a Concurrent Methodology for Standard-Cell Library Generation (DGB, TV, RCA, JD, TGM), pp. 333–336.
- DAC-1997-ForzanFG #megamodelling #performance #standard
- Accurate and Efficient Macromodel of Submicron Digital Standard Cells (CF, BF, CG), pp. 633–637.
- DAC-1997-GuptaH #2d #generative #layout #named #optimisation
- CLIP: An Optimizing Layout Generator for Two-Dimensional CMOS Cells (AG, JPH), pp. 452–455.
- DAC-1997-GuruswamyMDRCFJ #automation #layout #library #named #standard #synthesis
- CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell Libraries (MG, RLM, DD, SR, VC, AF, LGJ), pp. 327–332.
- DAC-1997-LefebvreMS #future of #generative #physics #synthesis
- The Future of Custom Cell Generation in Physical Synthesis (ML, DM, CS), pp. 446–451.
- EDTC-1997-SzekelyPPRC #simulation
- SISSSI-A tool for dynamic electro-thermal simulation of analog VLSI cells (VS, AP, AP, MR, AC), p. 617.
- EDTC-1997-TsengS #multi #standard #using
- A gridless multi-layer router for standard cell circuits using CTM cells (HPT, CS), pp. 319–326.
- SAC-1997-LiKI #network
- Balanced assignment of cells in PCS networks (JL, HK, HI), pp. 297–301.
- DAC-1996-BoglioloBR #estimation
- Power Estimation of Cell-Based CMOS Circuits (AB, LB, BR), pp. 433–438.
- DAC-1996-LokanathanBR #concurrent #library #optimisation #process
- A Methodology for Concurrent Fabrication Process/Cell Library Optimization (ANL, JBB, JER), pp. 825–830.
- ICPR-1996-AdigaCR #automation #image #segmentation
- Semi-automatic segmentation of tissue cells from confocal microscope images (PSUA, BBC, KR), pp. 494–497.
- ICPR-1996-BoucherG #multi
- A multi-agent system to segment living cells (AB, CG), pp. 558–562.
- ICPR-1996-ChatzisP #fuzzy
- Introducing the select and split fuzzy cell Hough transform (VC, IP), pp. 552–556.
- ICPR-1996-DiasBD #classification #identification
- Results of the use of Bayesian classifiers for identification of breast cancer cell nuclei (ÂVD, FB, MRD), pp. 508–512.
- ICPR-1996-HepplewhiteS96a #segmentation
- Unsupervised texture segmentation by Hebbian learnt cortical cells (LH, TJS), pp. 381–385.
- ICPR-1996-Hirayama #image #using
- Analyzing form images by using line-shared-adjacent cell relations (YH), pp. 768–772.
- ICPR-1996-KovalevGA #image #recognition #robust
- Robust recognition of white blood cell images (VAK, AYG, HSA), pp. 371–375.
- ICPR-1996-MurshedBS #approach #classification #detection #fuzzy #problem
- A fuzzy ARTMAP-based classification system for detecting cancerous cells, based on the one-class problem approach (NAM, FB, RS), pp. 478–482.
- ICPR-1996-ShimotsujiA #identification
- Form identification based on cell structure (SS, MA), pp. 793–797.
- HPDC-1996-Vila-SallentS #network
- Supporting HPDC Application over ATM Networks with Cell-Based Transport Mechanisms (JVS, JSP), pp. 595–604.
- DAC-1995-FabbroFCG #modelling #probability #standard #worst-case
- An Assigned Probability Technique to Derive Realistic Worst-Case Timing Models of Digital Standard Cells (ADF, BF, LC, CG), pp. 702–706.
- DAC-1995-RekhiTL #automation #layout #synthesis
- Automatic Layout Synthesis of Leaf Cells (SR, JDT, DHL), pp. 267–272.
- DAC-1995-SwartzS #scalability #standard
- Timing Driven Placement for Large Standard Cell Circuits (WS, CS), pp. 211–215.
- DAC-1994-MadhwapathySBP #approach #multi
- A Unified Approach to Multilayer Over-the-Cell Routing (SM, NAS, SB, AP), pp. 182–187.
- EDAC-1994-LinCHH #design
- Cell Height Driven Transistor Sizing in a Cell Based Module Design (HRL, CLC, YCH, TH), pp. 425–429.
- TAGT-1994-LuckL
- Cellworks with Cell Rewriting and Cell Packing for Plant Morphogenesis (JL, HBL), pp. 536–549.
- DAC-1993-YaoCDNL #using
- Cell-Based Hierarchical Pitchmatching Compaction Using Minimal LP (SZY, CKC, DD, SN, CYL), pp. 395–400.
- SAC-1993-GuuB #database #named #object-oriented #research
- Scibase: An Object-Oriented Scientific Database for Cell Physiology Research (YWG, GGB), pp. 309–317.
- DAC-1992-FujiiMMY #multi
- A Multi-Layer Channel Router with New Style of Over-the-Cell Routing (TF, YM, TM, TY), pp. 585–588.
- DAC-1992-GaoVL #algorithm #performance
- A Performance Driven Macro-Cell Placement Algorithm (TG, PMV, CLL), pp. 147–152.
- DAC-1992-HouC #algorithm #permutation
- A Pin Permutation Algorithm for Improving Over-the-Cell Channel Routing (CYH, CYRC), pp. 594–599.
- DAC-1992-MitsuhashiK #network #optimisation
- Power and Ground Network Topology Optimization for Cell Based VLSIs (TM, ESK), pp. 524–529.
- DAC-1992-NatarajanSHS #performance
- Over-the-Cell Channel Routing for High Performance Circuits (SN, NAS, NDH, MS), pp. 600–603.
- DAC-1992-OkudaO #algorithm #generative #layout #performance
- An Efficient Routing Algorithm for SOG Cell Generation on a Dense Gate-Isolated Layout Style (RO, SO), pp. 676–681.
- DAC-1992-WuSHS
- Over-the-Cell Routers for New Cell Model (BW, NAS, NDH, MS), pp. 604–607.
- DAC-1991-DonzelleDHPS #approach #automation #constraints #design
- A Constraint Based Approach to Automatic Design of Analog Cells (LOD, PFD, BH, JP, PS), pp. 506–509.
- DAC-1991-DuttL #assembly #constraints #generative #on the
- On Minimal Closure Constraint Generation for Symbolic Cell Assembly (DD, CYL), pp. 736–739.
- DAC-1991-Gad-El-KarimG #generative #layout #performance
- Generation of Performance Sensitivities for Analog Cell Layout (GGEK, RSG), pp. 500–505.
- DAC-1991-HolmesSS #algorithm #using
- New Algorithm for Over-the-Cell Channel Routing Using Vacant Terminals (NDH, NAS, MS), pp. 126–131.
- DAC-1991-HwangHLH #automation #generative #layout #performance
- An Efficient Layout Style for 2-Metal CMOS Leaf Cells And Their Automatic Generation (CYH, YCH, YLL, YCH), pp. 481–486.
- DAC-1991-LinPHL #reduction
- Channel Density Reduction by Routing Over The Cells (MSL, HWP, CYH, YLL), pp. 120–125.
- DAC-1991-MaziaszH
- Exact Width and Height Minimization of CMOS Cells (RLM, JPH), pp. 487–493.
- DAC-1991-Srinivasan #algorithm
- An Algorithm for Performance-Driven Initial Placement of Small-Cell ICs (AS), pp. 636–639.
- DAC-1991-Utesch #adaptation #approach #interactive #using
- A New Approach to Hierarchical Adaptation Using Sequence-Control Based on Cell Interactions (MCU), pp. 723–726.
- PODS-1991-MalvestutoMR #2d #information management #statistics
- Suppressing Marginal Cells to Protect Sensitive Information in a Two-Dimensional Statistical Table (FMM, MM, MR), pp. 252–258.
- DAC-1990-CongPL #algorithm #design #modelling #standard
- General Models and Algorithms for Over-the-Cell Routing in Standard Cell Design (JC, BP, CLL), pp. 709–715.
- DAC-1990-Domic #layout #synthesis
- Layout Synthesis of MOS Digital Cells (AD), pp. 241–245.
- DAC-1990-EdahiroY #algorithm #standard
- New Placement and Global Routing Algorithms for Standard Cell Layouts (ME, TY), pp. 642–645.
- DAC-1990-HillP #benchmark #metric #synthesis
- Benchmarks for Cell Synthesis (DDH, BP), pp. 317–320.
- DAC-1990-HillS #synthesis
- Global Routing Considerations in a Cell Synthesis System (DDH, DS), pp. 312–316.
- DAC-1990-HsiehHLH #generative #layout #named
- LiB: A Cell Layout Generator (YCH, CYH, YLL, YCH), pp. 474–479.
- DAC-1990-KatsadasK #multi
- A Multi-Layer Router Utilizing Over-Cell Areas (EK, EK), pp. 704–708.
- DAC-1990-KlingB #evolution #optimisation #standard
- Optimization by Simulated Evolution with Applications to Standard Cell Placement (RMK, PB), pp. 20–25.
- DAC-1990-LinMK #design #optimisation #standard
- Delay and Area Optimization in Standard-Cell Design (SL, MMS, ESK), pp. 349–352.
- DAC-1990-OkuboWW #algorithm
- New Algorithm for Overlapping Cell Treatment in Hierarchical CAD Data/Electron Beam Exposure Data Conversion (TO, TW, KW), pp. 321–326.
- DAC-1990-UptonSS #design #metaprogramming #standard
- Integrated Placement for Mixed Macro Cell and Standard Cell Designs (MU, KS, SS), pp. 32–35.
- GG-1990-Boer90a #sequence
- Construction of Map OL-Systems for Developmental Sequences of Plant Cell Layers (MJMdB), pp. 127–143.
- DAC-1989-Ghewala #named #testing
- CrossCheck: A Cell Based VLSI Testability Solution (TG), pp. 706–709.
- DAC-1989-Groenveld #on the
- On Global Wire Ordering for Macro-Cell Routing (PG), pp. 155–160.
- DAC-1989-HerrigelF #optimisation
- An Analytic Optimization Technique for Placement of Macro-Cells (AH, WF), pp. 376–381.
- DAC-1989-JacksonK
- Performance-driven Placement of Cell Based IC’s (MABJ, ESK), pp. 370–375.
- DAC-1989-JustSK #named
- Plowing: Modifying Cells and Routing 45: 9D — Layouts (KMJ, WLS, TK), pp. 702–705.
- DAC-1989-OngLL #automation #named #synthesis
- GENAC: An Automatic Cell Synthesis Tool (CLO, JTL, CYL), pp. 239–244.
- DAC-1989-SargentB #algorithm #fault #parallel #standard
- A Parallel Row-based Algorithm for Standard Cell Placement with Integrated Error Control (JSS, PB), pp. 590–593.
- STOC-1989-FredmanS #complexity #data type
- The Cell Probe Complexity of Dynamic Data Structures (MLF, MES), pp. 345–354.
- DAC-1988-ChakravertiC #algorithm #array #metaprogramming
- Routing Algorithm for Gate Array Macro Cells (AC, MJC), pp. 658–662.
- DAC-1988-Dunlop #generative #question #standard
- Will Cell Generation Displace Standard Cells? (AED), p. 528.
- DAC-1988-MallelaG #clustering #standard
- Clustering Based Simulated Annealing for Standard Cell Placement (SM, LKG), pp. 312–317.
- DAC-1988-Rose #named #parallel #standard
- LocusRoute: A Parallel Global Router for Standard Cells (JR), pp. 189–195.
- DAC-1988-Sechen #metaprogramming #using
- Chip-Planning, Placement, and Global Routing of Macro/Custom Cell Integrated Circuits Using Simulated Annealing (CS), pp. 73–80.
- DAC-1988-ShiraishiSKTS #generative #logic
- A High Packing Density Module Generator for CMOS Logic Cells (YS, JS, MK, AT, TS), pp. 439–444.
- DAC-1987-ChenC #compilation #design #independence
- A Design Rule Independent Cell Compiler (JSJC, DYC), pp. 466–471.
- DAC-1987-Chi #automation #clustering #standard
- An Automatic Rectilinear Partitioning Procedure for Standard Cells (MCC), pp. 50–55.
- DAC-1987-Grover #standard #using
- Standard Cell Placement Using Simulated Sintering (LKG), pp. 56–59.
- DAC-1987-JonesB #algorithm #parallel #performance #standard
- Performance of a Parallel Algorithm for Standard Cell Placement on the Intel Hypercube (MJ, PB), pp. 807–813.
- DAC-1987-KlingB #evolution #named #standard #using
- ESP: A New Standard Cell Placement Package Using Simulated Evolution (RMK, PB), pp. 60–66.
- DAC-1987-LueM #game studies #layout #named
- PLAY: Pattern-Based Symbolic Cell Layout: Part I: Transistor Placement (WJL, LPM), pp. 659–665.
- DAC-1987-MaiaszH #functional #layout #optimisation
- Layout Optimization of CMOS Functional Cells (RLM, JPH), pp. 544–551.
- DAC-1987-Ng #design
- A “gridless” Variable-Width Channel Router for Marco Cell Design (CHN), pp. 633–636.
- DAC-1987-Preas #benchmark #layout #metric
- Benchmarks for Cell-Based Layout Systems (BP), pp. 319–320.
- DAC-1987-Subrahmanyam #deduction #named
- LCS — A Leaf Cell Synthesizer Employing Formal Deduction Techniques (PAS), pp. 459–465.
- DAC-1986-Hartoog #analysis #layout #standard
- Analysis of placement procedures for VLSI standard cell layout (MRH), pp. 314–319.
- DAC-1986-JustKJ #layout #on the #problem #standard
- On the relative placement and the transportation problem for standard-cell layout (KMJ, JMK, FMJ), pp. 308–313.
- DAC-1986-SechenS #standard
- TimberWolf3.2: a new standard cell placement and global routing package (CS, ALSV), pp. 432–439.
- DAC-1985-AlthoffS #behaviour #compilation #modelling
- A behavioral modeling system for cell compilers (JCA, RDS), pp. 468–474.
- DAC-1985-HsuTCPT #layout #named #standard
- ALPS2: a standard cell layout system for double-layer metal technology (CPH, BNT, KC, RAP, JT), pp. 443–448.
- DAC-1985-SaucierT #layout
- Systematic and optimized layout of MOS cells (GS, GT), pp. 53–61.
- DAC-1985-TeraiHK #array #metaprogramming #standard
- A routing procedure for mixed array of custom macros and standard cells (HT, MH, TK), pp. 503–508.
- DAC-1984-Clow #algorithm
- A global routing algorithm for general cells (GWC), pp. 45–51.
- DAC-1984-Dupenloup #array
- A wire routing scheme for double-layer cell arrays (GD), pp. 32–37.
- DAC-1984-HollaarNCL #database #design #relational
- The structure and operation of a relational database system in a cell-oriented integrated circuit design system (LAH, BEN, TMC, RAL), pp. 117–125.
- DAC-1984-KrieteN #design #metaprogramming #parametricity
- A VLSI design methodology based on parametric macro cells (RAK, RKN), pp. 686–688.
- DAC-1984-LursinsapG #compilation #constraints
- Cell compilation with constraints (CL, DG), pp. 103–108.
- DAC-1984-Richard #standard
- A standard cell initial placement strategy (BDR), pp. 392–398.
- DAC-1983-Krohn #array
- An over-cell gate array channel router (HEK), pp. 665–670.
- DAC-1983-RothermelM #design #using
- Routing method for VLSI design using irregular cells (HJR, DAM), pp. 257–262.
- DAC-1983-Supowit #layout #standard
- Reducing channel density in standard cell layout (KJS), pp. 263–269.
- DAC-1982-Hassett #approach #automation #layout #problem
- Automated layout in ASHLAR: An approach to the problems of “General Cell” layout for VLSI (JEH), pp. 777–784.
- DAC-1982-LuhukayK #layout #synthesis
- A layout synthesis system for NMOS gate-cells (JFPL, WJK), pp. 307–314.
- GG-1982-DoesL #algorithm #generative #representation
- Algorithms for the generation and drawing of maps representing cell clones (MdD, AL), pp. 39–57.
- DAC-1981-BilgoryG #automation #generative
- Automatic generation of cells for recurrence structures (AB, DDG), pp. 306–313.
- DAC-1981-FrancoR #design
- The Cell Design System (DF, LR), pp. 240–247.
- DAC-1981-SatoNTSOY #layout #named
- MILD — A cell-based layout system for MOS-LSI (KS, TN, MT, HS, MO, TY), pp. 828–836.
- DAC-1981-SuwaK
- A computer-aided-design system for segmented-folded PLA macro-cells (IS, WJK), pp. 398–405.
- DAC-1980-CoxC #array #automation #standard
- The Standard Transistor Array (star) (Part II automatic cell placement techniques) (GWC, BDC), pp. 451–457.
- DAC-1980-DeutschG
- An over-the-cell router (DND, PG), pp. 32–39.
- DAC-1980-SoukupR #layout #representation
- Cell map representation for hierarchical layout (JS, JR), pp. 591–594.
- DAC-1979-Lauther #algorithm #graph #representation
- A min-cut placement algorithm for general cell assemblies based on a graph representation (UL), pp. 1–10.
- GG-1978-LindenmayerR #generative #parallel
- Parallel Generation of Maps: Developmental Systems for Cell Layers (AL, GR), pp. 301–316.
- DAC-1977-KollerL #design #standard
- The siemens-avesta-system for computer-aided design of MOS-standard cell circuits (KWK, UL), pp. 153–157.