BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
multi (182)
system (98)
mani (74)
processor (65)
base (61)

Stem core$ (all stems)

537 papers:

CBSECBSE-2015-WahlerOM #component #cyber-physical #manycore #realtime
Real-time Multi-core Components for Cyber-physical Systems (MW, MO, AM), pp. 37–42.
DACDAC-2015-CampbellVPC #detection #fault #low cost #synthesis
High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths (KAC, PV, DZP, DC), p. 6.
DACDAC-2015-ChengZZ0SI
Core vs. uncore: the heart of darkness (HYC, JZ, JZ, YX, JS, MJI), p. 6.
DACDAC-2015-LeeHLP #data flow #debugging #information management #interface #performance
Efficient dynamic information flow tracking on a processor with core debug interface (JL, IH, YL, YP), p. 6.
DACDAC-2015-RajendranVK #detection
Detecting malicious modifications of data in third-party intellectual property cores (JR, VV, RK), p. 6.
DACDAC-2015-TavanaHPSH #named #scalability
ElasticCore: enabling dynamic heterogeneity with joint core and voltage/frequency scaling (MKT, MHH, DP, IS, HH), p. 6.
DATEDATE-2015-CastrillonTSSJA #manycore #programming #question
Multi/many-core programming: where are we standing? (JC, LT, LS, WS, BHHJ, MAM, AP, RJ, VR, RL), pp. 1708–1717.
DATEDATE-2015-ChenM #distributed #learning #manycore #optimisation #performance
Distributed reinforcement learning for power limited many-core system performance optimization (ZC, DM), pp. 1521–1526.
DATEDATE-2015-ChenZWWWZ #multi #named #pseudo #simulation
MRP: mix real cores and pseudo cores for FPGA-based chip-multiprocessor simulation (XC, GZ, HW, RW, PW, LZ), pp. 211–216.
DATEDATE-2015-FuZLX #manycore #memory management
Maximizing common idle time on multi-core processors with shared memory (CF, YZ, ML, CJX), pp. 900–903.
DATEDATE-2015-GerumBR #gpu #performance #simulation
Source level performance simulation of GPU cores (CG, OB, WR), pp. 217–222.
DATEDATE-2015-GorevUD #fault #parallel #simulation
Fault simulation with parallel exact critical path tracing in multiple core environment (MG, RU, SD), pp. 1180–1185.
DATEDATE-2015-KhanSH #adaptation #manycore #power management
Power-efficient accelerator allocation in adaptive dark silicon many-core systems (MUKK, MS, JH), pp. 916–919.
DATEDATE-2015-KimKKC #manycore #mobile #named
M-DTM: migration-based dynamic thermal management for heterogeneous mobile multi-core processors (YGK, MK, JMK, SWC), pp. 1533–1538.
DATEDATE-2015-KobbeBH #adaptation #modelling #on the fly #performance
Adaptive on-the-fly application performance modeling for many cores (SK, LB, JH), pp. 730–735.
DATEDATE-2015-LiaoWC #3d #manycore #online
An online thermal-constrained task scheduler for 3D multi-core processors (CHL, CHPW, KC), pp. 351–356.
DATEDATE-2015-MaHJ #manycore
A packet-switched interconnect for many-core systems with BE and RT service (RM, ZH, AJ), pp. 980–983.
DATEDATE-2015-MajumderPK #architecture #biology #manycore
On-chip network-enabled many-core architectures for computational biology applications (TM, PPP, AK), pp. 259–264.
DATEDATE-2015-MunchPHH #embedded #manycore #named #realtime #scalability #using
MPIOV: scaling hardware-based I/O virtualization for mixed-criticality embedded real-time systems using non transparent bridges to (multi-core) multi-processor systems (DM, MP, OH, AH), pp. 579–584.
DATEDATE-2015-RosenMH #architecture #implementation #multi #reliability
Semiautomatic implementation of a bioinspired reliable analog task distribution architecture for multiple analog cores (JvR, MM, LH), pp. 912–915.
DATEDATE-2015-ShafiqueGGH #manycore #variability
Variability-aware dark silicon management in on-chip many-core systems (MS, DG, SG, JH), pp. 387–392.
DATEDATE-2015-WangNRQ #manycore #realtime #scheduling #statistics
Multi-core fixed-priority scheduling of real-time tasks with statistical deadline guarantee (TW, LN, SR, GQ), pp. 1335–1340.
DATEDATE-2015-YallaHK #comparison #multi
Comparison of multi-purpose cores of Keccak and AES (PY, EH, JPK), pp. 585–588.
DATEDATE-2015-YaoWGMCZ #architecture #manycore #named
SelectDirectory: a selective directory for cache coherence in many-core architectures (YY, GW, ZG, TM, WC, NZ), pp. 175–180.
DATEDATE-2015-ZhangJSPHP #hardware #manycore #named #pipes and filters
E-pipeline: elastic hardware/software pipelines on a many-core fabric (XZ, HJ, MS, JP, JH, SP), pp. 363–368.
DATEDATE-2015-ZhuCPP #manycore #named
TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
SIGMODSIGMOD-2015-Kimura #named
FOEDUS: OLTP Engine for a Thousand Cores and NVRAM (HK), pp. 691–706.
ESOPESOP-2015-CastagnaI0B #calculus #pattern matching #xquery
A Core Calculus for XQuery 3.0 — Combining Navigational and Pattern Matching Approaches (GC, HI, KN, VB), pp. 232–256.
TACASTACAS-2015-DijkP #diagrams #manycore #named
Sylvan: Multi-Core Decision Diagrams (TvD, JvdP), pp. 677–691.
ICSMEICSME-2015-WahlerEFP #hardware #legacy #manycore #migration
Migrating legacy control software to multi-core hardware (MW, RE, CF, YAP), pp. 458–466.
PLDIPLDI-2015-LidburyLCD #compilation #fuzzing #manycore
Many-core compiler fuzzing (CL, AL, NC, AFD), pp. 65–76.
STOCSTOC-2015-MirrokniZ #composition #distributed #random
Randomized Composable Core-sets for Distributed Submodular Maximization (VSM, MZ), pp. 153–162.
ICALPICALP-v2-2015-AvinLNP #network
Core Size and Densification in Preferential Attachment Networks (CA, ZL, YN, DP), pp. 492–503.
ICFPICFP-2015-Rossberg #ml #named
1ML — core and modules united (F-ing first-class modules) (AR), pp. 35–47.
ICEISICEIS-v1-2015-MendoncaMSS #approach #named #query
CORE — A Context-based Approach for Rewriting User Queries (AM, PM, DS, ACS), pp. 391–398.
ECIRECIR-2015-RousseauV #keyword
Main Core Retention on Graph-of-Words for Single-Document Keyword Extraction (FR, MV), pp. 382–393.
OnwardOnward-2015-PolitoDBFM #library
Virtualization support for dynamic core library update (GP, SD, NB, LF, MM), pp. 211–223.
PPDPPPDP-2015-Schmidt-Schauss #call-by #functional #semantics
Improvements in a functional core language with call-by-need operational semantics (MSS, DS), pp. 220–231.
SACSAC-2015-HamataniUH #smarttech #using
Estimating core body temperature based on human thermal model using wearable sensors (TH, AU, TH), pp. 521–526.
HPCAHPCA-2015-JinPSCSZ #fault #hardware #named
FTXen: Making hypervisor resilient to hardware faults on relaxed cores (XJ, SP, TS, RC, ZS, YZ), pp. 451–462.
ICSTSAT-2015-LonsingE #api #incremental #satisfiability
Incrementally Computing Minimal Unsatisfiable Cores of QBFs via a Clause Group Solver API (FL, UE), pp. 191–198.
QoSAQoSA-2014-GooijerH #case study #experience #industrial #manycore #memory management #modelling #realtime
Experiences with modeling memory contention for multi-core industrial real-time systems (TdG, KEH), pp. 43–52.
DACDAC-2014-FattahPLPT #manycore #named #runtime
SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems (MF, MP, PL, JP, HT), p. 6.
DACDAC-2014-KontorinisTHTH
Enabling Dynamic Heterogeneity Through Core-on-Core Stacking (VK, MKT, MHH, DMT, HH), p. 6.
DACDAC-2014-TsengHPK #energy #manycore #mobile #scheduling
User-Centric Energy-Efficient Scheduling on Multi-Core Mobile Devices (PHT, PCH, CCP, TWK), p. 6.
DATEDATE-2014-BortolottiBWRB #architecture #hybrid #manycore #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATEDATE-2014-BraojosDBAA #approach #hardware #manycore #power management
Hardware/software approach for code synchronization in low-power multi-core sensor nodes (RB, AYD, IB, GA, DA), pp. 1–6.
DATEDATE-2014-CilardoFGM #communication #manycore #scheduling #synthesis
Joint communication scheduling and interconnect synthesis for FPGA-based many-core systems (AC, EF, LG, AM), pp. 1–4.
DATEDATE-2014-DuricPSUCVB #execution #named #power management
EVX: Vector execution on low power EDGE cores (MD, OP, AS, OSÜ, AC, MV, DB), pp. 1–4.
DATEDATE-2014-GiannopoulouSHT #architecture #manycore
Mapping mixed-criticality applications on multi-core architectures (GG, NS, PH, LT), pp. 1–6.
DATEDATE-2014-KangYKBHT #manycore #optimisation
Reliability-aware mapping optimization of multi-core systems with mixed-criticality (SHK, HY, SK, IB, SH, LT), pp. 1–4.
DATEDATE-2014-KhanSH #architecture #manycore #performance #power management #video
Software architecture of High Efficiency Video Coding for many-core systems with power-efficient workload balancing (MUKK, MS, JH), pp. 1–6.
DATEDATE-2014-NathanS #detection #fault #low cost #named
Nostradamus: Low-cost hardware-only error detection for processor cores (RN, DJS), pp. 1–6.
DATEDATE-2014-NoursPB #architecture #evaluation #manycore #performance
A dynamic computation method for fast and accurate performance evaluation of multi-core architectures (SLN, AP, NWB), pp. 1–6.
DATEDATE-2014-NowotschPHPS #analysis #monitoring #multi #off the shelf
Monitoring and WCET analysis in COTS multi-core-SoC-based mixed-criticality systems (JN, MP, AH, WP, AS), pp. 1–5.
DATEDATE-2014-WangXWCWW #manycore #power management
Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors (XW, JX, ZW, KJC, XW, ZW), pp. 1–4.
DATEDATE-2014-WangZMYJDP #adaptation #manycore
Adaptive power allocation for many-core systems inspired from multiagent auction model (XW, BZ, TSTM, MY, YJ, MD, MP), pp. 1–4.
DATEDATE-2014-WildermannGT #distributed #multi #resource management #runtime
Multi-objective distributed run-time resource management for many-cores (SW, MG, JT), pp. 1–6.
DATEDATE-2014-WuWDHYY #in memory #integration #manycore #memory management
A thermal resilient integration of many-core microprocessors and main memory by 2.5D TSI I/Os (SSW, KW, SMPD, TYH, MY, HY), pp. 1–4.
DATEDATE-2014-YasinSE #manycore #polynomial
Unified, ultra compact, quadratic power proxies for multi-core processors (MY, AS, IAME), pp. 1–4.
PODSPODS-2014-IndykMMM #composition
Composable core-sets for diversity and coverage maximization (PI, SM, MM, VSM), pp. 100–108.
SIGMODSIGMOD-2014-LeisBK0 #evaluation #framework #manycore #parallel #query
Morsel-driven parallelism: a NUMA-aware query evaluation framework for the many-core age (VL, PAB, AK, TN), pp. 743–754.
VLDBVLDB-2015-YuBPDS14 #concurrent #evaluation
Staring into the Abyss: An Evaluation of Concurrency Control with One Thousand Cores (XY, GB, AP, SD, MS), pp. 209–220.
ITiCSEITiCSE-2014-MagnenatSRSB #concept #education
Teaching a core CS concept through robotics (SM, JS, FR, RS, MBA), pp. 315–320.
ITiCSEITiCSE-2014-TedreBMC #classification #concept #education #identification #towards
Towards identification and classification of core and threshold concepts in methodology education in computing (MT, DB, SMB, JCC), pp. 237–242.
ESOPESOP-2014-BrunelGMZ #calculus
A Core Quantitative Coeffect Calculus (AB, MG, DM, SZ), pp. 351–370.
TACASTACAS-2014-HeizmannCDHLMSWP #contest #satisfiability
Ultimate Automizer with Unsatisfiable Cores — (Competition Contribution) (MH, JC, DD, JH, ML, BM, CS, SW, AP), pp. 418–420.
TACASTACAS-2014-WijsB #manycore #named #on the fly #using
GPUexplore: Many-Core On-the-Fly State Space Exploration Using GPUs (AW, DB), pp. 233–247.
ICALPICALP-v2-2014-AvinBLP #axiom #design #distributed #network
Distributed Computing on Core-Periphery Networks: Axiom-Based Design (CA, MB, ZL, DP), pp. 399–410.
IFMIFM-2014-FathabadiSB #manycore #modelling #process #runtime
Applying an Integrated Modelling Process to Run-time Management of Many-Core Systems (ASF, CFS, MJB), pp. 120–135.
ICFPICFP-2014-SchlesingerGW #concurrent #pipes and filters #policy
Concurrent NetCore: from policies to pipelines (CS, MG, DW), pp. 11–24.
ICGTICGT-2014-ArendtHRT #constraints #graph #invariant #ocl
From Core OCL Invariants to Nested Graph Constraints (TA, AH, HR, GT), pp. 97–112.
CHICHI-2014-KihmGKM #interactive #power management #symmetry #using
Using asymmetric cores to reduce power consumption for interactive devices with bi-stable displays (JK, FG, JK, RM), pp. 1059–1062.
ICEISICEIS-v2-2014-RibeiroFVO #2d #design pattern #named
DC2DP: A Dublin Core Application Profile to Design Patterns (AAdAR, JLF, LFdMV, AdPO), pp. 209–216.
ICEISICEIS-v3-2014-GonzalezAY #architecture #enterprise #evolution
Evolving a Core Banking Enterprise Architecture — Leveraging Business Events Exploitation (BSMG, JMdÁ, JCY), pp. 181–189.
KDDKDD-2014-AkibaMK #analysis #network
Network structural analysis via core-tree-decomposition Publication of this article pending inquiry (TA, TM, KiK), pp. 1476–1485.
KDDKDD-2014-BonchiGKV #composition #graph #nondeterminism
Core decomposition of uncertain graphs (FB, FG, AK, YV), pp. 1316–1325.
SEKESEKE-2014-GrieblerAF #architecture #evaluation #interface #manycore #parallel #performance #programming #usability
Performance and Usability Evaluation of a Pattern-Oriented Parallel Programming Interface for Multi-Core Architectures (DG, DA, LGF), pp. 25–30.
ECOOPECOOP-2014-LeeKZ #concurrent #execution #multi
Infrastructure-Free Logging and Replay of Concurrent Execution on Multiple Cores (KHL, DK, XZ), pp. 232–256.
QAPLQAPL-2014-KempfLM #design #formal method #manycore
Formal and Informal Methods for Multi-Core Design Space Exploration (JFK, OL, OM), pp. 78–92.
ASPLOSASPLOS-2014-EyermanE #concurrent #flexibility #manycore #parallel #smt #thread #towards
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism (SE, LE), pp. 591–606.
ASPLOSASPLOS-2014-MuthukaruppanPM #multi #power management
Price theory based power management for heterogeneous multi-cores (TSM, AP, TM), pp. 161–176.
ASPLOSASPLOS-2014-ZhangRZGBXZ #correlation #detection #named
EnCore: exploiting system environment and correlation information for misconfiguration detection (JZ, LR, XZ, NG, VB, TX, YZ), pp. 687–700.
ASPLOSASPLOS-2014-ZhouW #architecture #as a service
The sharing architecture: sub-core configurability for IaaS clouds (YZ, DW), pp. 559–574.
HPCAHPCA-2014-DemetriadesC #manycore #scalability
Stash directory: A scalable directory for many-core coherence (SD, SC), pp. 177–188.
HPCAHPCA-2014-LoK #manycore
Dynamic management of TurboMode in modern multi-core chips (DL, CK), pp. 603–613.
HPDCHPDC-2014-El-HelwHB #clustering #manycore #named #pipes and filters
Glasswing: accelerating mapreduce on multi-core and many-core clusters (IEH, RFHH, HEB), pp. 295–298.
HPDCHPDC-2014-GerofiSHTI #memory management #named #novel #policy
CMCP: a novel page replacement policy for system level hierarchical memory management on many-cores (BG, AS, AH, MT, YI), pp. 73–84.
HPDCHPDC-2014-RajachandrasekarPVHWP #architecture #distributed #framework #named
MIC-Check: a distributed check pointing framework for the intel many integrated cores architecture (RR, SP, AV, KH, MWuR, DKP), pp. 121–124.
LCTESLCTES-2014-BebelisFG #data flow #framework #manycore #parametricity
A framework to schedule parametric dataflow applications on many-core platforms (VB, PF, AG), pp. 125–134.
OSDIOSDI-2014-MullerAAC #automation #manycore #named #parallel
Pydron: Semi-Automatic Parallelization for Multi-Core and the Cloud (SCM, GA, AA, AC), pp. 645–659.
OSDIOSDI-2014-ZellwegerGKR #kernel #operating system
Decoupling Cores, Kernels, and Operating Systems (GZ, SG, KK, TR), pp. 17–31.
PPoPPPPoPP-2014-LeeKZ #concurrent #execution #multi
Infrastructure-free logging and replay of concurrent execution on multiple cores (KHL, DK, XZ), pp. 371–372.
PPoPPPPoPP-2014-LuoLHKP #hybrid #manycore #programming #runtime
Initial study of multi-endpoint runtime for MPI+OpenMP hybrid programming model on multi-core systems (ML, XL, KH, KCK, DKP), pp. 395–396.
PPoPPPPoPP-2014-MaAC #algorithm #analysis #manycore #thread
Theoretical analysis of classic algorithms on highly-threaded many-core GPUs (LM, KA, RDC), pp. 391–392.
ICSTSAT-2014-BacchusN #algorithm #analysis #satisfiability
Cores in Core Based MaxSat Algorithms: An Analysis (FB, NN), pp. 7–15.
DACDAC-2013-AnagnostopoulosTBS #distributed #manycore #resource management #runtime
Distributed run-time resource management for malleable applications on many-core platforms (IA, VT, AB, DS), p. 6.
DACDAC-2013-DinakarraoWY #3d #multi #reduction
Peak power reduction and workload balancing by space-time multiplexing based demand-supply matching for 3D thousand-core microprocessor (SMPD, KW, HY), p. 6.
DACDAC-2013-FattahDLP #agile #manycore
Smart hill climbing for agile dynamic mapping in many-core systems (MF, MD, PL, JP), p. 6.
DACDAC-2013-JahnPKCH #configuration management #optimisation #pipes and filters
Optimizations for configuring and mapping software pipelines in many core systems (JJ, SP, SK, JJC, JH), p. 8.
DACDAC-2013-MuthukaruppanPVMV #manycore #power management #symmetry
Hierarchical power management for asymmetric multi-core in dark silicon era (TSM, MP, VV, TM, SV), p. 9.
DACDAC-2013-SinghSKH #bibliography #manycore #roadmap
Mapping on multi/many-core systems: survey of current and emerging trends (AKS, MS, AK, JH), p. 10.
DATEDATE-2013-Belov0MM #abstraction #satisfiability
Core minimization in SAT-based abstraction (AB, HC, AM, JMS), pp. 1411–1416.
DATEDATE-2013-BernardiBSRB #embedded #fault #identification #online
On-line functionally untestable fault identification in embedded processor cores (PB, MB, ES, MSR, OB), pp. 1462–1467.
DATEDATE-2013-CoppolaFGK #embedded #manycore
From embedded multi-core SoCs to scale-out processors (MC, BF, JG, GK), pp. 947–951.
DATEDATE-2013-ElbayoumiHE #concurrent #diagrams #manycore #novel
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms (ME, MSH, MYE), pp. 1427–1430.
DATEDATE-2013-ElfadelMA #formal method #industrial #manycore
Closed-loop control for power and thermal management in multi-core processors: formal methods and industrial practice (IME, RM, DA), pp. 1879–1881.
DATEDATE-2013-HameedBH #adaptation #multi
Adaptive cache management for a combined SRAM and DRAM cache hierarchy for multi-cores (FH, LB, JH), pp. 77–82.
DATEDATE-2013-JahnH #architecture #manycore #named #pipes and filters #self
Pipelets: self-organizing software pipelines for many-core architectures (JJ, JH), pp. 1516–1521.
DATEDATE-2013-KimYIBS #manycore #realtime #scheduling
Optimized scheduling of multi-IMA partitions with exclusive region for synchronized real-time multi-core systems (JEK, MKY, SI, RMB, LS), pp. 970–975.
DATEDATE-2013-KodakaTSYKTXSUTMM #manycore #power management #predict
A near-future prediction method for low power consumption on a many-core processor (TK, AT, SS, AY, TK, TT, HX, TS, HU, JT, TM, NM), pp. 1058–1059.
DATEDATE-2013-ManiatakosMM #array #memory management #optimisation
AVF-driven parity optimization for MBU protection of in-core memory arrays (MM, MKM, YM), pp. 1480–1485.
DATEDATE-2013-MittalBKDKP #adaptation #manycore #towards
Towards adaptive test of multi-core RF SoCs (RM, LB, YBCK, VRD, MK, RAP), pp. 743–748.
DATEDATE-2013-MiyamoriXKUST #development #manycore #power management
Development of low power many-core SoC for multimedia applications (TM, HX, TK, HU, TS, JT), pp. 773–777.
DATEDATE-2013-NegreanKE #analysis #manycore
Timing analysis of multi-mode applications on AUTOSAR conform multi-core systems (MN, SK, RE), pp. 302–307.
DATEDATE-2013-OjailDLG #embedded #framework #lightweight #manycore #named
ARTM: a lightweight fork-join framework for many-core embedded systems (MO, RD, YL, AG), pp. 1510–1515.
DATEDATE-2013-PaoneVZSMHL #embedded #manycore #modelling #simulation
Improving simulation speed and accuracy for many-core embedded platforms with ensemble models (EP, NV, VZ, CS, DM, GH, TL), pp. 671–676.
DATEDATE-2013-ShafiqueVH #adaptation #hybrid #manycore #power management #self
Self-adaptive hybrid dynamic power management for many-core systems (MS, BV, JH), pp. 51–56.
DATEDATE-2013-ThabetLAPD #architecture #flexibility #hardware #manycore #performance
An efficient and flexible hardware support for accelerating synchronization operations on the STHORM many-core architecture (FT, YL, CA, JMP, RD), pp. 531–534.
DATEDATE-2013-WangYWZ #3d #configuration management #manycore #network
3D reconfigurable power switch network for demand-supply matching between multi-output power converters and many-core microprocessors (KW, HY, BW, CZ), pp. 1643–1648.
DATEDATE-2013-WildermannZT #analysis #distributed #game studies #manycore
Game-theoretic analysis of decentralized core allocation schemes on many-core systems (SW, TZ, JT), pp. 1498–1503.
DATEDATE-2013-YingHH #3d #performance
Fast and optimized task allocation method for low vertical link density 3-dimensional networks-on-chip based many core systems (HY, TH, KH), pp. 1777–1782.
DATEDATE-2013-YuZHWLT #approach #manycore #set #simulation
A critical-section-level timing synchronization approach for deterministic multi-core instruction set simulations (FWY, BHZ, YHH, HIW, CRL, RST), pp. 643–648.
DATEDATE-2013-ZhangCBACL #3d #architecture #composition #manycore #named #performance
3D-MMC: a modular 3D multi-core architecture with efficient resource pooling (TZ, AC, GB, PA, AKC, YL), pp. 1241–1246.
DATEDATE-2013-ZhaoLBT #detection #manycore #probability #runtime
Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems (JZ, S(L, WB, RT), pp. 1395–1398.
SIGMODSIGMOD-2013-KlonatosNSKK #algorithm #automation #synthesis
Automatic synthesis of out-of-core algorithms (YK, AN, AS, CK, VK), pp. 133–144.
SIGMODSIGMOD-2013-MoerkotteFE #on the
On the correct and complete enumeration of the core search space (GM, PF, ME), pp. 493–504.
VLDBVLDB-2013-SariyuceGJWC #algorithm #composition #streaming
Streaming Algorithms for k-core Decomposition (AES, BG, GJS, KLW, ÜVÇ), pp. 433–444.
VLDBVLDB-2014-BalkesenATO13 #in memory #manycore #revisited
Multi-Core, Main-Memory Joins: Sort vs. Hash Revisited (CB, GA, JT, MTÖ), pp. 85–96.
ITiCSEITiCSE-2013-HawthorneC #learning #source code
ACM core IT learning outcomes for associate-degree programs (EKH, RDC), p. 357.
ITiCSEITiCSE-2013-PorterGTZ #architecture #comprehension #concept #student
Evaluating student understanding of core concepts in computer architecture (LP, SG, HWT, DZ), pp. 279–284.
TACASTACAS-2013-WieringaH #incremental #manycore #satisfiability
Asynchronous Multi-core Incremental SAT Solving (SW, KH), pp. 139–153.
CEFPCEFP-2013-DaneluttoT #parallel #programming
Structured Parallel Programming with “core” FastFlow (MD, MT), pp. 29–75.
HCIHCI-AMTE-2013-HermannCW #human-computer #industrial #interactive #manycore #parallel
Parallel Rendering of Human-Computer Interaction Industrial Applications on Multi-/Many-Core Platforms (SH, AC, L(W), pp. 350–360.
HILTHILT-2013-MichellMP #manycore #programming #realtime
Real-time programming on accelerator many-core processors (SM, BM, LMP), pp. 23–36.
ICEISICEIS-v1-2013-PeltomaaV #framework #information management #ontology
Ontology in the Core of Information Management — Information Management in Infrastructure Building (IP, EV), pp. 161–168.
ICEISICEIS-v3-2013-KimOK #architecture #enterprise #framework #modelling
Complex Event as an Core Aspect of Enterprise Architecture — EDEMF: Event Driven Enterprise Architecture Modeling Framework (HK, SO, PK), pp. 292–301.
ECIRECIR-2013-CarpinetoR #concept #graph #query #semantics
Semantic Search Log k-Anonymization with Generalized k-Cores of Query Concept Graph (CC, GR), pp. 110–121.
KDIRKDIR-KMIS-2013-Aveiro #case study #concept #enterprise #ontology
Enterprise Ontology and DEMO — Benefits, Core Concepts and a Case-study (DA), pp. 1–7.
KEODKEOD-2013-ChulyadyoHB #approach #automation #ontology
Core Ontology based Approach for Treating the Flatness of Automatically Built Ontology (RC, MH, GB), pp. 316–323.
MODELSMoDELS-2013-NieYAZF #automation #constraints #cyber-physical #named
Constraints: The Core of Supporting Automated Product Configuration of Cyber-Physical Systems (KN, TY, SA, LZ, ZF), pp. 370–387.
MODELSMoDELS-2013-NieYAZF #automation #constraints #cyber-physical #named
Constraints: The Core of Supporting Automated Product Configuration of Cyber-Physical Systems (KN, TY, SA, LZ, ZF), pp. 370–387.
ECOOPECOOP-2013-GruberB #concurrent #manycore
Ownership-Based Isolation for Concurrent Actors on Multi-core Machines (OG, FB), pp. 281–301.
OOPSLAOOPSLA-2013-ClebschD #concurrent #garbage collection #manycore
Fully concurrent garbage collection of actors on many-core machines (SC, SD), pp. 553–570.
QAPLQAPL-2013-Schuppan #ltl #satisfiability
Enhancing Unsatisfiable Cores for LTL with Information on Temporal Relevance (VS), pp. 49–65.
SACSAC-2013-AzarianCWB #approach #manycore #pipes and filters
An FPGA-based multi-core approach for pipelining computing stages (AA, JMPC, SW, JB), pp. 1533–1540.
SACSAC-2013-BusseSDMR #architecture #manycore #scheduling
Analyzing resource interdependencies in multi-core architectures to improve scheduling decisions (AB, JHS, MD, GM, JR), pp. 1595–1602.
SACSAC-2013-FanTCS #embedded #energy #manycore #realtime
Energy-aware real-time task synchronization in multi-core embedded systems (LFF, THT, YSC, SSS), pp. 1493–1498.
SACSAC-2013-JangKRLSKPC #api #comparison #performance #similarity
An efficient similarity comparison based on core API calls (MJ, JK, SR, KL, SS, AK, YP, EHC), pp. 1634–1638.
SACSAC-2013-ShihL #kernel #manycore #named
nuKernel: MicroKernel for multi-core DSP SoCs with load sharing and priority interrupts (CSS, HYL), pp. 1525–1532.
ASPLOSASPLOS-2013-KangW #approach #hardware
To hardware prefetch or not to prefetch?: a virtualized environment study and core binding approach (HK, JLW), pp. 357–368.
ASPLOSASPLOS-2013-ParkBCLN #harmful #manycore #memory management
Regularities considered harmful: forcing randomness to memory accesses to reduce row buffer conflicts for multi-core, multi-bank systems (HP, SB, JC, DL, SHN), pp. 181–192.
HPCAHPCA-2013-AbeyratneDLSGDBM #scalability #symmetry #towards
Scaling towards kilo-core processors with asymmetric high-radix topologies (NA, RD, QL, KS, BG, RGD, DB, TNM), pp. 496–507.
HPCAHPCA-2013-AnsariFGTM #lightweight #named
Illusionist: Transforming lightweight cores into aggressive cores on demand (AA, SF, SG, JT, SAM), pp. 436–447.
HPCAHPCA-2013-CragoALP #energy #hybrid #latency #parallel #robust
Hybrid latency tolerance for robust energy-efficiency on 1000-core data parallel processors (NCC, OA, SSL, SJP), pp. 294–305.
HPCAHPCA-2013-DasAMKA #manycore #memory management #policy
Application-to-core mapping policies to reduce memory system interference in multi-core systems (RD, RA, OM, AK, MA), pp. 107–118.
HPCAHPCA-2013-GoswamiCL #architecture #memory management #throughput #using
Power-performance co-optimization of throughput core architecture using resistive memory (NG, BC, TL), pp. 342–353.
HPCAHPCA-2013-KhanAWKJ #architecture #manycore #performance #using
Improving multi-core performance using mixed-cell cache architecture (SMK, ARA, CW, JK, DAJ), pp. 119–130.
HPDCHPDC-2013-GillLHNGL #composition #declarative #framework #manycore #named #scalability
Scalanytics: a declarative multi-core platform for scalable composable traffic analytics (HG, DL, XH, CN, TG, BTL), pp. 61–72.
LCTESLCTES-2013-Beemster #c #manycore
The role of C in the dark ages of multi-core (MB), pp. 89–90.
PPoPPPPoPP-2013-FriedleyHBLM #distributed #manycore #memory management #performance #programming
Ownership passing: efficient distributed memory programming on multi-core systems (AF, TH, GB, AL, CCM), pp. 177–186.
CAVCAV-2013-LaarmanODLP #abstraction #automaton #manycore #using
Multi-core Emptiness Checking of Timed Büchi Automata Using Inclusion Abstraction (AL, MCO, AED, KGL, JvdP), pp. 968–983.
ICSTICST-2013-RosslerZFZC
Reconstructing Core Dumps (JR, AZ, GF, CZ, GC), pp. 114–123.
ICSTSAT-2013-IgnatievJM #approach #quantifier #satisfiability
Quantified Maximum Satisfiability: — A Core-Guided Approach (AI, MJ, JMS), pp. 250–266.
TLCATLCA-2013-Peyton-Jones #compilation #haskell
Type-Directed Compilation in the Wild: Haskell and Core (SLPJ), p. 1.
DACDAC-2012-GhasemiSSK #effectiveness #power management
Cost-effective power delivery to support per-core voltage domains for power-constrained processors (HRG, AAS, MJS, NSK), pp. 56–61.
DACDAC-2012-MelpignanoBFJLHCD #embedded #evaluation #framework #manycore #performance #visual notation
Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications (DM, LB, EF, BJ, TL, GH, FC, DD), pp. 1137–1142.
DATEDATE-2012-AnagnostopoulosBKS #distributed #divide and conquer #manycore #runtime
A divide and conquer based distributed run-time mapping methodology for many-core platforms (IA, AB, GK, DS), pp. 111–116.
DATEDATE-2012-BolchiniMS #adaptation #approach #architecture #fault #manycore #online
An adaptive approach for online fault management in many-core architectures (CB, AM, DS), pp. 1429–1432.
DATEDATE-2012-BoseBDGHJNRSVW #challenge #manycore #power management
Power management of multi-core chips: Challenges and pitfalls (PB, AB, JAD, MSG, MBH, HMJ, IN, JAR, JS, AV, AJW), pp. 977–982.
DATEDATE-2012-ChenMM #configuration management #manycore #online #scheduling
Online scheduling for multi-core shared reconfigurable fabric (LC, TM, TM), pp. 582–585.
DATEDATE-2012-DoganCRBA #architecture #design #health #manycore #monitoring #power management #smarttech
Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
DATEDATE-2012-DrumlSWGH #estimation #manycore #smarttech
Estimation based power and supply voltage management for future RF-powered multi-core smart cards (ND, CS, RW, AG, JH), pp. 358–363.
DATEDATE-2012-FanQ #framework #manycore #realtime #scheduling
Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform (MF, GQ), pp. 503–508.
DATEDATE-2012-HameedBH #adaptation #architecture #manycore #runtime
Dynamic cache management in multi-core architectures through run-time adaptation (FH, LB, JH), pp. 485–490.
DATEDATE-2012-JovicYMELA #hybrid #simulation
Hybrid simulation for extensible processor cores (JJ, SY, LGM, JFE, RL, GA), pp. 288–291.
DATEDATE-2012-LiuFQ #framework #manycore
Neighbor-aware dynamic thermal management for multi-core platform (GL, MF, GQ), pp. 187–192.
DATEDATE-2012-MarongiuBB #clustering #embedded #lightweight #parallel #performance
Fast and lightweight support for nested parallelism on cluster-based embedded many-cores (AM, PB, LB), pp. 105–110.
DATEDATE-2012-PourshaghaghiFG
Sliding-Mode Control to Compensate PVT Variations in dual core systems (HRP, HF, JPdG), pp. 1048–1053.
DATEDATE-2012-SinkarWK #manycore #optimisation #performance
Workload-aware voltage regulator optimization for power efficient multi-core processors (AAS, HW, NSK), pp. 1134–1137.
DATEDATE-2012-WernerOGHB #configuration management #distributed #manycore
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems (SW, OO, DG, MH, JB), pp. 280–283.
VLDBVLDB-2012-AlbutiuKN #database #in memory #manycore #memory management #parallel
Massively Parallel Sort-Merge Joins in Main Memory Multi-Core Database Systems (MCA, AK, TN), pp. 1064–1075.
ICALPICALP-v2-2012-BhawalkarKLRS #network #problem #social
Preventing Unraveling in Social Networks: The Anchored k-Core Problem (KB, JMK, KL, TR, AS), pp. 440–451.
IFLIFL-2012-SculthorpeFG #haskell #program transformation
The HERMIT in the Tree — Mechanizing Program Transformations in the GHC Core Language (NS, AF, AG), pp. 86–103.
CIKMCIKM-2012-HuHXLUZ #analysis #mining
Finding nuggets in IP portfolios: core patent mining through textual temporal analysis (PH, MH, PX, WL, AKU, XZ), pp. 1819–1823.
KDDKDD-2012-RoyTA #hardware #manycore #performance
Efficient frequent item counting in multi-core hardware (PR, JT, GA), pp. 1451–1459.
KDIRKDIR-2012-Patel-Schneider #challenge #ontology
Challenges in the Core of Ontology Support Systems (PFPS).
KMISKMIS-2012-StroetmannHL #industrial
Medical Knowledge — A Core Asset for Healthcare Industry (BS, AH, AL), pp. 184–189.
SEKESEKE-2012-VegiFCOB #analysis #named
DC2AP: A Dublin Core Application Profile to Analysis Patterns (LFdMV, JLF, GLdSC, AdPO, JLB), pp. 511–516.
ECMFAECMFA-2012-Seidewitz #execution #manycore #uml
Executable UML: From Multi-domain to Multi-core (ES), p. 1.
SACSAC-2012-HojsgaardH
Core BPEL: syntactic simplification of WS-BPEL 2.0 (EH, TH), pp. 1984–1991.
HPCAHPCA-2012-HomayounKSLT #3d
Dynamically heterogeneous cores through 3D resource pooling (HH, VK, AS, TWL, DMT), pp. 323–334.
HPCAHPCA-2012-MillerPTST #named #process
Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips (TNM, XP, RT, NS, RT), pp. 27–38.
HPDCHPDC-2012-BaeXDL #adaptation #energy #multi #performance
Dynamic adaptive virtual core mapping to improve power, energy, and performance in multi-socket multicores (CB, LX, PAD, JRL), pp. 247–258.
ISMMISMM-2012-ZhouD #configuration management #locality #manycore #memory management #policy
Memory management for many-core processors with software configurable locality policies (JZ, BD), pp. 3–14.
LCTESLCTES-2012-KyleBFLT #embedded #manycore #set #simulation #using
Efficiently parallelizing instruction set simulation of embedded multi-core processors using region-based just-in-time dynamic binary translation (SCK, IB, BF, HL, NPT), pp. 21–30.
OSDIOSDI-2012-Kapritsos0QCAD #all about #manycore #replication
All about Eve: Execute-Verify Replication for Multi-Core Servers (MK, YW, VQ, AC, LA, MD), pp. 237–250.
PPoPPPPoPP-2012-WernsingS #automation #heuristic #manycore #named
RACECAR: a heuristic for automatic function specialization on multi-core heterogeneous systems (JRW, GS), pp. 321–322.
ICSTICST-2012-HashemianKA #benchmark #challenge #manycore #metric #web
Overcoming Web Server Benchmarking Challenges in the Multi-core Era (RH, DK, MFA), pp. 648–653.
ICSTSAT-2012-MorgadoHM #satisfiability
Improvements to Core-Guided Binary Search for MaxSAT (AM, FH, JMS), pp. 284–297.
ASEASE-2011-MacleanIG #animation #correctness #functional #pointer #source code
The CORE system: Animation and functional correctness of pointer programs (EM, AI, GG), pp. 588–591.
DACDAC-2011-ChenM #configuration management #manycore
Shared reconfigurable fabric for multi-core customization (LC, TM), pp. 830–835.
DACDAC-2011-DeOrioABP #architecture #distributed #manycore #named
DRAIN: distributed recovery architecture for inaccessible nodes in multi-core chips (AD, KA, VB, LSP), pp. 912–917.
DACDAC-2011-HsuLFWHHY #analysis #design #manycore #modelling #named
PowerDepot: integrating IP-based power modeling with ESL power analysis for multi-core SoC designs (CWH, JLL, SCF, CCW, SYH, WTH, JCY), pp. 47–52.
DACDAC-2011-SaripalliMDN #energy #hybrid
An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores (VS, AKM, SD, VN), pp. 729–734.
DACDAC-2011-WangMR #clustering #configuration management #energy #manycore #optimisation #realtime
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems (WW, PM, SR), pp. 948–953.
DACDAC-2011-WuWFT #distributed #manycore #scheduling #simulation
A high-parallelism distributed scheduling mechanism for multi-core instruction-set simulation (MHW, PCW, CYF, RST), pp. 339–344.
DATEDATE-2011-ClermidyDDLV #3d #embedded #manycore
3D Embedded multi-core: Some perspectives (FC, FD, DD, WL, PV), pp. 1327–1332.
DATEDATE-2011-FuWT #approach #manycore #performance #simulation
A shared-variable-based synchronization approach to efficient cache coherence simulation for multi-core systems (CYF, MHW, RST), pp. 347–352.
DATEDATE-2011-HameedFH #3d #adaptation #architecture #manycore #runtime
Dynamic thermal management in 3D multi-core architecture through run-time adaptation (FH, MAAF, JH), pp. 299–304.
DATEDATE-2011-HanumaiahV #manycore #realtime
Reliability-aware thermal management for hard real-time applications on multi-core processors (VH, SBKV), pp. 137–142.
DATEDATE-2011-JahnFH #adaptation #architecture #migration #multi #named #runtime
CARAT: Context-aware runtime adaptive task migration for multi core architectures (JJ, MAAF, JH), pp. 515–520.
DATEDATE-2011-LeupersEMSTC #manycore #towards
Virtual Manycore platforms: Moving towards 100+ processor cores (RL, LE, GM, FS, NPT, XC), pp. 715–720.
DATEDATE-2011-LungHKC #3d #manycore #online #optimisation #throughput
Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
DATEDATE-2011-ShafiqueBAH #configuration management #manycore #resource management #runtime
Minority-Game-based resource allocation for run-time reconfigurable multi-core processors (MS, LB, WA, JH), pp. 1261–1266.
DATEDATE-2011-StattelmannBR #analysis #manycore #performance #simulation
Fast and accurate resource conflict simulation for performance analysis of multi-core systems (SS, OB, WR), pp. 210–215.
SIGMODSIGMOD-2011-BlanasLP #algorithm #design #evaluation #in memory #manycore #memory management
Design and evaluation of main memory hash join algorithms for multi-core CPUs (SB, YL, JMP), pp. 37–48.
VLDBVLDB-2011-SewallCKSD #architecture #manycore #named #parallel
PALM: Parallel Architecture-Friendly Latch-Free Modifications to B+ Trees on Many-Core Processors (JS, JC, CK, NS, PD), pp. 795–806.
VLDBVLDB-2012-KruegerKGSSCPDZ11 #database #manycore #performance #using
Fast Updates on Read-Optimized Databases Using Multi-Core CPUs (JK, CK, MG, NS, DS, JC, HP, PD, AZ), pp. 61–72.
ITiCSEITiCSE-2011-Ernst #architecture #manycore #performance #student
Preparing students for future architectures with an exploration of multi- and many-core performance (DJE), pp. 57–62.
CSCWCSCW-2011-JiangGH #3d #case study #video
The video of Xland: two core use cases of 3D blog (YJ, RG, YH), pp. 583–584.
CSCWCSCW-2011-JunuzovicD #collaboration #scheduling
Scheduling in variable-core collaborative systems (SJ, PD), pp. 543–552.
CAiSECAiSE-2011-QureshiJP #adaptation #ontology #problem #requirements #self
Requirements Engineering for Self-Adaptive Systems: Core Ontology and Problem Statement (NAQ, IJ, AP), pp. 33–47.
ICEISICEIS-v3-2011-ShenYZT #analysis #documentation #security
Analysis of Core Documents in Information Security Based on Mapping Knowledge Domains (HZS, QJY, QJZ, LyT), pp. 421–427.
CIKMCIKM-2011-KrulisLBSS #architecture #distance #gpu #manycore #polynomial
Processing the signature quadratic form distance on many-core GPU architectures (MK, JL, CB, TS, TS), pp. 2373–2376.
KDIRKDIR-2011-MauleKR #approach #automation #using
Automated Approach for Whole Brain Infarction Core Delineation — Using Non-contrast and Computed Tomography Angiography (PM, JK, VR), pp. 433–437.
PADLPADL-2011-Kaivola #execution #framework #functional #validation
Intel CoreTM i7 Processor Execution Engine Validation in a Functional Language Based Formal Framework (RK), p. 1.
SACSAC-2011-ChuHLCHC #approach #development #manycore #verification
A pattern-based verification approach for a multi-core system development (PHC, NLH, CCL, MJC, PAH, WCC), pp. 49–53.
SACSAC-2011-LeeLS #manycore #scheduling
Preemptibility-aware responsive multi-core scheduling (JL, GL, SbS), pp. 748–749.
SACSAC-2011-ViroliBC #semantics
Core operational semantics of Proto (MV, JB, MC), pp. 1325–1332.
PLEASEPLEASE-2011-StallingerNSK #challenge #evolution #industrial #migration #product line #towards
Migrating towards evolving software product lines: challenges of an SME in a core customer-driven industrial systems engineering context (FS, RN, RS, SK), pp. 20–24.
ASPLOSASPLOS-2011-KamruzzamanST #manycore #migration #thread #using
Inter-core prefetching for multicore processors using migrating helper threads (MK, SS, DMT), pp. 393–404.
CGOCGO-2011-WuHBW #energy #manycore #virtual machine
A HW/SW co-designed heterogeneous multi-core virtual machine for energy-efficient general purpose computing (YW, SH, EB, CW), pp. 236–245.
HPCAHPCA-2011-FerdmanLBF #manycore #scalability
Cuckoo directory: A scalable directory for many-core systems (MF, PLK, KB, BF), pp. 169–180.
HPCAHPCA-2011-LiZCL #architecture #energy #manycore #named #power management
SolarCore: Solar energy driven multi-core architecture power management (CL, WZ, CBC, TL), pp. 205–216.
HPCAHPCA-2011-MadanBBA #manycore #power management
A case for guarded power gating for multi-core processors (NM, AB, PB, MA), pp. 291–300.
HPDCHPDC-2011-AlvarezBGMNA #design #replication
Design space exploration for aggressive core replication schemes in CMPs (LA, RB, MG, XM, NN, EA), pp. 269–270.
ISMMISMM-2011-Mutlu #challenge #manycore #memory management
Memory systems in the many-core era: challenges, opportunities, and solution directions (OM), pp. 77–78.
ISMMISMM-2011-SingerKBL #garbage collection #java #multi #pipes and filters
Garbage collection auto-tuning for Java mapreduce on multi-cores (JS, GK, GB, ML), pp. 109–118.
LCTESLCTES-2011-SarkarMR #manycore #migration #predict
Predictable task migration for locked caches in multi-core systems (AS, FM, HR), pp. 131–140.
ICSTSAT-2011-RyvchinS #performance #satisfiability
Faster Extraction of High-Level Minimal Unsatisfiable Cores (VR, OS), pp. 174–187.
DACDAC-2010-ChenXDM #manycore #modelling #performance
Performance and power modeling in a multi-programmed multi-core environment (XC, CX, RPD, ZMM), pp. 813–818.
DACDAC-2010-FonsecaDBGPVB #analysis #reliability #simulation #statistics
A statistical simulation method for reliability analysis of SRAM core-cells (RAF, LD, AB, PG, SP, AV, NB), pp. 853–856.
DACDAC-2010-GeMQ #distributed #manycore #migration
Distributed task migration for thermal management in many-core systems (YG, PM, QQ), pp. 579–584.
DACDAC-2010-IhrigMJ #automation #design #manycore #modelling
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors (CJI, RGM, AKJ), pp. 431–436.
DACDAC-2010-KochteSWZ #fault #manycore #performance #simulation
Efficient fault simulation on many-core processors (MAK, MS, HJW, CGZ), pp. 380–385.
DACDAC-2010-SchranzhoferPCTC #analysis #manycore #modelling #resource management #worst-case
Worst-case response time analysis of resource access models in multi-core systems (AS, RP, JJC, LT, MC), pp. 332–337.
DACDAC-2010-TruongB #architecture #design #manycore #modelling
Circuit modeling for practical many-core architecture design exploration (DT, BMB), pp. 627–628.
DACDAC-2010-YuP #clustering #manycore #memory management
Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms (CY, PP), pp. 132–137.
DACDAC-2010-ZhangL #manycore #network
A multilayer nanophotonic interconnection network for on-chip many-core communications (XZ, AL), pp. 156–161.
DACDAC-2010-ZhaoDX #3d #cost analysis #design #manycore
Cost-aware three-dimensional (3D) many-core multiprocessor design (JZ, XD, YX), pp. 126–131.
DATEDATE-2010-BonfiettiBLM #approach #manycore #performance #scheduling
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms (AB, LB, ML, MM), pp. 897–902.
DATEDATE-2010-ChenLJC #distributed #manycore #memory management #using
Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller (XC, ZL, AJ, SC), pp. 39–44.
DATEDATE-2010-CollinsVC #code generation #manycore #parallel #recursion
Recursion-driven parallel code generation for multi-core platforms (RLC, BV, LPC), pp. 190–195.
DATEDATE-2010-EguiaTSPT #behaviour #design #manycore #modelling
General behavioral thermal modeling and characterization for multi-core microprocessor design (TJAE, SXDT, RS, EHP, MT), pp. 1136–1141.
DATEDATE-2010-HePE #multi #testing
Multi-temperature testing for core-based system-on-chip (ZH, ZP, PE), pp. 208–213.
DATEDATE-2010-KranenburgL #architecture #implementation #named #robust
MB-LITE: A robust, light-weight soft-core implementation of the MicroBlaze architecture (TK, RvL), pp. 997–1000.
DATEDATE-2010-LiSC #logic #manycore
Exploiting local logic structures to optimize multi-core SoC floorplanning (CHL, SS, LPC), pp. 1291–1296.
DATEDATE-2010-LoiB #3d #distributed #framework #interface #manycore #memory management #performance
An efficient distributed memory interface for many-core platform with 3D stacked DRAM (IL, LB), pp. 99–104.
DATEDATE-2010-MarianiAVYPSZ #design #framework #industrial #manycore #resource management #runtime
An industrial design space exploration framework for supporting run-time resource management on multi-core systems (GM, PA, GV, CYC, GP, CS, VZ), pp. 196–201.
DATEDATE-2010-MullerBGRNZB #design #implementation #manycore #recognition
Design of an automotive traffic sign recognition system targeting a multi-core SoC implementation (MM, AGB, JG, WR, DN, JMZ, OB), pp. 532–537.
DATEDATE-2010-ZhangYDHRL #manycore #symmetry
Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
PASTEPASTE-2010-HaC #communication #concurrent #dynamic analysis
Opportunities for concurrent dynamic analysis with explicit inter-core communication (JH, SPC), pp. 17–20.
PLDIPLDI-2010-ZhouD #approach #manycore #named #object-oriented
Bamboo: a data-centric, object-oriented approach to many-core software (JZ, BD), pp. 388–399.
ICALPICALP-v1-2010-GrandoniR #design #network #problem
Network Design via Core Detouring for Problems without a Core (FG, TR), pp. 490–502.
AdaSIGAda-2010-LiYBWZL #ada #fault tolerance #manycore #monitoring
Extending Ada to support multi-core based monitoring and fault tolerance (YL, LY, LB, LW, JZ, XL), pp. 53–62.
ICPRICPR-2010-SuS #predict #process
Latent Fingerprint Core Point Prediction Based on Gaussian Processes (CS, SNS), pp. 1634–1637.
SEKESEKE-2010-Cooke #challenge #manycore #programming
The multi-core programming challenge (DC), pp. 3–4.
OOPSLAOOPSLA-2010-McIlroyS #architecture #manycore #named #runtime
Hera-JVM: a runtime system for heterogeneous multi-core architectures (RM, JS), pp. 205–222.
SACSAC-2010-DaniVAS #manycore
Accelerating multi-core simulators (AMD, KV, BA, YNS), pp. 2377–2382.
SACSAC-2010-WeiYKHC #energy #manycore #realtime #scheduling
Energy-efficient real-time scheduling of multimedia tasks on multi-core processors (YHW, CYY, TWK, SHH, YHC), pp. 258–262.
SPLCSPLC-2010-McGregor #quality
The Many Paths to Quality Core Assets (JDM), p. 502.
ASPLOSASPLOS-2010-BerganADCG #compilation #execution #named #parallel #runtime #thread
CoreDet: a compiler and runtime system for deterministic multithreaded execution (TB, OA, JD, LC, DG), pp. 53–64.
ASPLOSASPLOS-2010-BhattacharjeeM #multi
Inter-core cooperative TLB for chip multiprocessors (AB, MM), pp. 359–370.
ASPLOSASPLOS-2010-EbrahimiLMP #configuration management #manycore #memory management
Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems (EE, CJL, OM, YNP), pp. 335–346.
ASPLOSASPLOS-2010-HuangDS #multi #named #performance
Orthrus: efficient software integrity protection on multi-cores (RCH, DYD, GES), pp. 371–384.
ASPLOSASPLOS-2010-VenkateshSGGBLST #energy #maturity
Conservation cores: reducing the energy of mature computations (GV, JS, NG, SG, VB, JLM, SS, MBT), pp. 205–218.
HPCAHPCA-2010-AbellaCVCG
High-Performance low-vcc in-order core (JA, PC, XV, JC, AG), pp. 1–11.
HPCAHPCA-2010-LiBKKRH #architecture #manycore #operating system
Operating system support for overlapping-ISA heterogeneous multi-core architectures (TL, PB, RCK, DAK, DR, SH), pp. 1–12.
HPDCHPDC-2010-HuLZHX #clustering #manycore #scheduling #virtual machine
I/O scheduling model of virtual machine based on multi-core dynamic partitioning (YH, XL, JZ, JH, LX), pp. 142–154.
LCTESLCTES-2010-WernsingS #adaptation #framework #manycore
Elastic computing: a framework for transparent, portable, and adaptive multi-core heterogeneous computing (JRW, GS), pp. 115–124.
OSDIOSDI-2010-Boyd-WickizerCMPKMZ #analysis #linux #scalability
An Analysis of Linux Scalability to Many Cores (SBW, ATC, YM, AP, MFK, RM, NZ), pp. 1–16.
PPoPPPPoPP-2010-GuoZCS #adaptation #manycore #named #scalability
SLAW: a scalable locality-aware adaptive work-stealing scheduler for multi-core systems (YG, YZ, VC, VS), pp. 341–342.
PPoPPPPoPP-2010-LeeP #calculus #parallel
Featherweight X10: a core calculus for async-finish parallelism (JKL, JP), pp. 25–36.
PPoPPPPoPP-2010-PerarnauH #cpu #generative #named
KRASH: reproducible CPU load generation on many cores machines (SP, GH), pp. 327–328.
IJCARIJCAR-2010-GlimmHM #logic #reasoning
Optimized Description Logic Reasoning via Core Blocking (BG, IH, BM), pp. 457–471.
DACDAC-2009-ChenJ #manycore #performance #scheduling
Efficient program scheduling for heterogeneous multi-core processors (JC, LKJ), pp. 927–930.
DACDAC-2009-ChouCWCCWW #3d #manycore
No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips (SHC, CCC, CNW, YCC, TFC, CCW, JSW), pp. 587–592.
DACDAC-2009-HanumaiahRVC #constraints #manycore #throughput
Throughput optimal task allocation under thermal constraints for multi-core processors (VH, RR, SBKV, KSC), pp. 776–781.
DACDAC-2009-LeeK #manycore #optimisation #throughput #using
Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating (JL, NSK), pp. 47–50.
DACDAC-2009-WenCCS #architecture #concurrent #debugging #detection #manycore #named
NUDA: a non-uniform debugging architecture and non-intrusive race detection for many-core (CNW, SHC, TFC, APS), pp. 148–153.
DATEDATE-2009-Berkel #manycore #mobile
Multi-core for mobile phones (CHvB), pp. 1260–1265.
DATEDATE-2009-ChangBM #design #using
Customizing IP cores for system-on-chip designs using extensive external don’t-cares (KHC, VB, ILM), pp. 582–585.
DATEDATE-2009-HanumaiahVC #constraints #manycore #performance
Performance optimal speed control of multi-core processors under thermal constraints (VH, SBKV, KSC), pp. 1548–1551.
DATEDATE-2009-KodakaSTONKMUAOKTM #design #implementation #manycore #scalability #thread
Design and implementation of scalable, transparent threads for multi-core media processor (TK, SS, TT, RO, NN, KK, TM, YU, HA, YO, TK, YT, NM), pp. 1035–1039.
DATEDATE-2009-KolligOH #framework #manycore
Heterogeneous multi-core platform for consumer multimedia applications (PK, CO, TH), pp. 1254–1259.
DATEDATE-2009-NeyDGPVBG #fault
A new design-for-test technique for SRAM core-cell stability faults (AN, LD, PG, SP, AV, MB, VG), pp. 1344–1348.
DATEDATE-2009-PelcatMAN #architecture #manycore #scalability
Scalable compile-time scheduler for multi-core architectures (MP, PM, SA, JFN), pp. 1552–1555.
DATEDATE-2009-SartoriK #architecture #distributed #manycore #power management
Distributed peak power management for many-core architectures (JS, RK), pp. 1556–1559.
DATEDATE-2009-YangO #adaptation #towards
Towards no-cost adaptive MPSoC static schedules through exploitation of logical-to-physical core mapping latitude (CY, AO), pp. 63–68.
DATEDATE-2009-YiHZEA #architecture #manycore #scheduling
An ILP formulation for task mapping and scheduling on multi-core architectures (YY, WH, XZ, ATE, TA), pp. 33–38.
SIGMODSIGMOD-2009-HanL #manycore #optimisation #order #query
Dependency-aware reordering for parallelizing query optimization in multi-core CPUs (WSH, JL), pp. 45–58.
SIGMODSIGMOD-2009-MeccaPR
Core schema mappings (GM, PP, SR), pp. 655–668.
VLDBVLDB-2009-CateCKT #query #sql
Laconic Schema Mappings: Computing the Core with SQL Queries (BtC, LC, PGK, WCT), pp. 1006–1017.
VLDBVLDB-2009-KimSCKNBLSD #implementation #manycore #performance
Sort vs. Hash Revisited: Fast Join Implementation on Modern Multi-Core CPUs (CK, ES, JC, TK, ADN, ADB, VWL, NS, PD), pp. 1378–1389.
VLDBVLDB-2009-LeeDCLZ #database #manycore #named
MCC-DB: Minimizing Cache Conflicts in Multi-core Processors for Databases (RL, XD, FC, QL, XZ), pp. 373–384.
MSRMSR-2009-RoblesGH #developer #evolution
Evolution of the core team of developers in libre software projects (GR, JMGB, IH), pp. 167–170.
PEPMPEPM-2009-DavidGC #calculus #exception #optimisation
Translation and optimization for a core calculus with exceptions (CD, CG, WNC), pp. 41–50.
CIKMCIKM-2009-QianLLXSS #community #development #topic #what
What’s behind topic formation and development: a perspective of community core groups (TQ, QL, BL, HX, JS, PCYS), pp. 1843–1846.
MLDMMLDM-2009-OkuboH #concept #pseudo
Finding Top-N Pseudo Formal Concepts with Core Intents (YO, MH), pp. 479–493.
ECOOPECOOP-2009-Click #co-evolution #design #hardware #java
Java on 1000 Cores: Tales of Hardware/Software Co-design (CC), p. 444.
ECOOPECOOP-2009-LagorioSZ #calculus #composition
Featherweight Jigsaw: A Minimal Core Calculus for Modular Composition of Classes (GL, MS, EZ), pp. 244–268.
OOPSLAOOPSLA-2009-ZhaoSZWLS #java #manycore
Allocation wall: a limiting factor of Java applications on emerging multi-core platforms (YZ, JS, KZ, HW, HL, LS), pp. 361–376.
SACSAC-2009-WeiCM #design #energy #manycore #metric #realtime
Designing a multi-core hard real-time test bed for energy measurement experiments (TW, XC, PM), pp. 1998–1999.
ICSEICSE-2009-GousiosS #framework #monitoring #quality
Alitheia Core: An extensible software quality monitoring platform (GG, DS), pp. 579–582.
ASPLOSASPLOS-2009-SulemanMQP #architecture #execution #manycore #symmetry
Accelerating critical section execution with asymmetric multi-core architectures (MAS, OM, MKQ, YNP), pp. 253–264.
HPCAHPCA-2009-DeOrioWB #design #manycore #memory management #named #validation
Dacota: Post-silicon validation of the memory subsystem in multi-core designs (AD, IW, VB), pp. 405–416.
HPCAHPCA-2009-Hill
Opportunities beyond single-core microprocessors (MDH), pp. 143–144.
HPCAHPCA-2009-KumarHM #manycore
Characterization of Direct Cache Access on multi-core systems and 10GbE (AK, RH, SM), pp. 341–352.
HPCAHPCA-2009-Patt #interface #manycore
Multi-core demands multi-interfaces (YNP), pp. 147–148.
LCTESLCTES-2009-SarkarMRM #manycore #migration #realtime
Push-assisted migration of real-time tasks in multi-core processors (AS, FM, HR, SM), pp. 80–89.
PPoPPPPoPP-2009-Dennis #how #manycore #programmable
How to build programmable multi-core chips (JBD), pp. 283–284.
PPoPPPPoPP-2009-Hill
Opportunities beyond single-core microprocessors (MDH), p. 97.
PPoPPPPoPP-2009-LongFZ #architecture #manycore
Architectural support for cilk computations on many-core architectures (GL, DF, JZ), pp. 285–286.
PPoPPPPoPP-2009-Patt #interface #manycore
Multi-core demands multi-interfaces (YNP), pp. 99–100.
PPoPPPPoPP-2009-WangO #approach #machine learning #parallel
Mapping parallelism to multi-cores: a machine learning based approach (ZW, MFPO), pp. 75–84.
CAVCAV-2009-KaivolaGNTWPSTFRN #execution #testing #validation #verification
Replacing Testing with Formal Verification in Intel CoreTM i7 Processor Execution Engine Validation (RK, RG, NN, AT, JW, SP, AS, CT, VF, ER, AN), pp. 414–429.
ICSTSAT-2009-LiffitonS #satisfiability
Generalizing Core-Guided Max-SAT (MHL, KAS), pp. 481–494.
DACDAC-2008-DongLY #manycore #named #parallel #simulation
WavePipe: parallel transient simulation of analog and digital circuits on multi-core shared-memory machines (WD, PL, XY), pp. 238–243.
DACDAC-2008-HuangSSRS #design #manycore #perspective
Many-core design from a thermal perspective (WH, MRS, KS, RJR, KS), pp. 746–749.
DACDAC-2008-JungRP #manycore #modelling #probability
Stochastic modeling of a thermally-managed multi-core system (HJ, PR, MP), pp. 728–733.
DACDAC-2008-SuhendraM #clustering #multi #predict
Exploring locking & partitioning for predictable shared caches on multi-cores (VS, TM), pp. 300–303.
DACDAC-2008-TarjanBS #named
Federation: repurposing scalar cores for out-of-order instruction issue (DT, MB, KS), pp. 772–775.
DATEDATE-2008-BahukudumbiCK #scheduling
Test Scheduling for Wafer-Level Test-During-Burn-In of Core-Based SoCs (SB, KC, RK), pp. 1103–1106.
DATEDATE-2008-Brand #design #manycore #optimisation
Optimization of Design Flows for Multi-Core x86 Microprocessors in 45 and 32nm Technologies under Productivity Considerations (HJB), pp. 938–939.
DATEDATE-2008-GailliardBSV #component #corba #protocol #semantics
Mapping Semantics of CORBA IDL and GIOP to Open Core Protocol for Portability and Interoperability of SDR Waveform Components (GG, HB, MS, FV), pp. 330–335.
DATEDATE-2008-KangK #design #framework #machine learning #manycore #named #optimisation #performance
Magellan: A Search and Machine Learning-based Framework for Fast Multi-core Design Space Exploration and Optimization (SK, RK), pp. 1432–1437.
DATEDATE-2008-LarssonLCEP #architecture #optimisation #scheduling
Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns (AL, EL, KC, PE, ZP), pp. 188–193.
DATEDATE-2008-Marques-SilvaP #algorithm #satisfiability #using
Algorithms for Maximum Satisfiability using Unsatisfiable Cores (JMS, JP), pp. 408–413.
DATEDATE-2008-MuraliMAGBBM #manycore #optimisation #using
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (SM, AM, DA, RG, SPB, LB, GDM), pp. 110–115.
DATEDATE-2008-TenentesKK #testing
State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores (VT, XK, EK), pp. 474–479.
DATEDATE-2008-WagnerB #adaptation #design #manycore #named #verification
MCjammer: Adaptive Verification for Multi-core Designs (IW, VB), pp. 670–675.
DATEDATE-2008-ZhangHXL #fault #manycore #using
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology (LZ, YH, QX, XL), pp. 891–896.
VLDBVLDB-2008-ChhuganiNLMHCBKD #architecture #cpu #implementation #manycore #performance #sorting
Efficient implementation of sorting on multi-core SIMD CPU architecture (JC, ADN, VWL, WM, MH, YKC, AB, SK, PD), pp. 1313–1324.
VLDBVLDB-2008-QiaoRRHL #in memory #manycore
Main-memory scan sharing for multi-core CPUs (LQ, VR, FR, PJH, GML), pp. 610–621.
FMFM-2008-TorlakCJ #declarative #satisfiability #specification
Finding Minimal Unsatisfiable Cores of Declarative Specifications (ET, FSHC, DJ), pp. 326–341.
ICEISICEIS-ISAS2-2008-RauberR #architecture #manycore #modelling #parallel #workflow
Models for Parallel Workflow Processing on Multi-Core Architectures (TR, GR), pp. 220–227.
CIKMCIKM-2008-VaidyaL #database #query
Characterization of TPC-H queries for a column-oriented database on a dual-core amd athlon processor (PV, JJL), pp. 1411–1412.
ICPRICPR-2008-LeKM #clustering #graph
Coring method for clustering a graph (TVL, CAK, IBM), pp. 1–4.
RERE-2008-JuretaMF #ontology #problem #requirements
Revisiting the Core Ontology and Problem in Requirements Engineering (IJ, JM, SF), pp. 71–80.
SACSAC-2008-GuoLPHCDW #design #manycore #memory management
Hierarchical memory system design for a heterogeneous multi-core processor (JG, McL, ZP, LH, FC, KD, ZW), pp. 1504–1508.
SACSAC-2008-LuizVS #framework #specification
Formal specification of DSP gateway for data transmission between processor cores of OMAP platform (SODL, GdMV, LDdS), pp. 1545–1549.
ASPLOSASPLOS-2008-LindermanCWM #manycore #named #programming
Merge: a programming model for heterogeneous multi-core systems (MDL, JDC, HW, THYM), pp. 287–296.
CGOCGO-2008-ZhaoCW #analysis #manycore #named #pipes and filters #profiling
Pipa: pipelined profiling and analysis on multi-core systems (QZ, IC, WFW), pp. 185–194.
HPCAHPCA-2008-KimGWB #analysis #performance #using
System level analysis of fast, per-core DVFS using on-chip switching regulators (WK, MSG, GYW, DMB), pp. 123–134.
HPCAHPCA-2008-SalverdaZ #constraints #performance
Fundamental performance constraints in horizontal fusion of in-order cores (PS, CBZ), pp. 252–263.
HPDCHPDC-2008-DiamosY #execution #named #runtime
Harmony: an execution model and runtime for heterogeneous many core systems (GFD, SY), pp. 197–200.
OSDIOSDI-2008-Boyd-WickizerCCMKMPSWDZZ #named #operating system
Corey: An Operating System for Many Cores (SBW, HC, RC, YM, MFK, RM, AP, LS, MW, YhD, YZ, ZZ), pp. 43–57.
PPoPPPPoPP-2008-ChengCHT #classification #manycore #scalability #using
Scalable packet classification using interpreting: a cross-platform multi-core solution (HC, ZC, BH, XT), pp. 33–42.
PPoPPPPoPP-2008-TatikondaP #adaptation #approach #architecture #manycore #memory management #mining
An adaptive memory conscious approach for mining frequent trees: implications for multi-core architectures (ST, SP), pp. 263–264.
IJCARIJCAR-2008-BenzmullerRS #higher-order #logic #named
THF0 — The Core of the TPTP Language for Higher-Order Logic (CB, FR, GS), pp. 491–506.
QoSAQoSA-2007-BoerFLVCJ #architecture
Architectural Knowledge: Getting to the Core (RCdB, RF, PL, HvV, VC, AJ), pp. 197–214.
DACDAC-2007-Borkar #perspective
Thousand Core ChipsA Technology Perspective (SB), pp. 746–749.
DACDAC-2007-Darringer #automation #challenge #design #manycore
Multi-Core Design Automation Challenges (JAD), pp. 760–764.
DACDAC-2007-HwuRUKGSKBMTNLFP #modelling #parallel #programming
Implicitly Parallel Programming Models for Thousand-Core Microprocessors (WmWH, SR, SZU, JHK, IG, SSS, REK, SSB, AM, SCT, NN, SSL, MIF, SJP), pp. 754–759.
DACDAC-2007-XuZC #architecture #fault #optimisation
SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects (QX, YZ, KC), pp. 676–681.
DATEDATE-2007-AlhoHHH #design #hardware
Compact hardware design of Whirlpool hashing core (TA, PH, MH, TDH), pp. 1247–1252.
DATEDATE-2007-RhodLC #architecture #performance
A low-SER efficient core processor architecture for future technologies (ELR, CALL, LC), pp. 1448–1453.
DATEDATE-2007-SheldonVL #design #interactive #paradigm #using
Interactive presentation: Soft-core processor customization using the design of experiments paradigm (DS, FV, SL), pp. 821–826.
DATEDATE-2007-SinanogluP #approach
A non-intrusive isolation approach for soft cores (OS, TP), pp. 27–32.
DATEDATE-2007-TangX #debugging #framework #manycore
A multi-core debug platform for NoC-based systems (ST, QX), pp. 870–875.
VLDBVLDB-2007-LiL #mining #multi #optimisation
Optimization of Frequent Itemset Mining on Multiple-Core Processor (EL, LL), pp. 1275–1285.
WRLAWRLA-2006-NeuhausserN07 #abstraction #erlang #maude #model checking #source code
Abstraction and Model Checking of Core Erlang Programs in Maude (MRN, TN), pp. 147–163.
ESOPESOP-2007-Versari #analysis #calculus #comparative
A Core Calculus for a Comparative Analysis of Bio-inspired Calculi (CV), pp. 411–425.
PLDIPLDI-2007-WangCCJTGYLW #architecture #manycore #named #parallel #programming #thread
EXOCHI: architecture and programming environment for a heterogeneous multi-core multithreaded system (PHW, JDC, GNC, HJ, XT, MG, NYY, GYL, HW), pp. 156–166.
ICALPICALP-2007-LuTW #complexity #on the #set
On the Complexity of Hard-Core Set Constructions (CJL, SCT, HLW), pp. 183–194.
IFLIFL-2007-MitchellR #haskell
A Supercompiler for Core Haskell (NM, CR), pp. 147–164.
AdaSIGAda-2007-Nyberg #manycore #question
Multi-core + multi-tasking = multi-opportunity? (KAN), pp. 79–82.
ICMLICML-2007-AsharafMS #multi
Multiclass core vector machine (SA, MNM, SKS), pp. 41–48.
ICMLICML-2007-TsangKK
Simpler core vector machines with enclosing balls (IWT, AK, JTK), pp. 911–918.
MLDMMLDM-2007-PracnerTRI #categorisation #evaluation #using
Categorizing Evolved CoreWar Warriors Using EM and Attribute Evaluation (DP, NT, MR, MI), pp. 681–693.
OOPSLAOOPSLA-2007-StrniaaSP #design #java #semantics
The java module system: core design and semantic definition (RS, PS, MJP), pp. 499–514.
SACSAC-2007-HuangJL
Finding putative core promoter elements with position-dependent consensuses (YFH, YCJ, SWL), pp. 138–139.
SACSAC-2007-KyungPKJKP #design #framework #manycore #monitoring #performance
Performance monitor unit design for an AXI-based multi-core SoC platform (HmK, GHP, JWK, WJ, TJK, SBP), pp. 1565–1572.
HPCAHPCA-2007-RangerRPBK #manycore #pipes and filters
Evaluating MapReduce for Multi-core and Multiprocessor Systems (CR, RR, AP, GRB, CK), pp. 13–24.
LCTESLCTES-2007-ChoAG #interface #manycore #modelling #synthesis #transaction
Interface synthesis for heterogeneous multi-core systems from transaction level models (HC, SA, DG), pp. 140–142.
PPoPPPPoPP-2007-Adl-TabatabaiKS #manycore #programming #transaction
Transactional programming in a multi-core environment (ARAT, CK, BS), p. 272.
PPoPPPPoPP-2007-BarrettAV #evaluation #performance
Performance evaluation of the cray XT3 configured with dual core opteron processors (RFB, SRA, JSV), pp. 148–149.
PPoPPPPoPP-2007-PutzeSS #library #manycore #named #standard
MCSTL: the multi-core standard template library (FP, PS, JS), pp. 144–145.
ICLPICLP-2007-CzenkoE #logic programming #trust
Core TuLiP Logic Programming for Trust Management (MC, SE), pp. 380–394.
ICSTSAT-2007-CimattiGS #flexibility #modulo theories #satisfiability
A Simple and Flexible Way of Computing Small Unsatisfiable Cores in SAT Modulo Theories (AC, AG, RS), pp. 334–339.
DATEDATE-2006-Al-JunaidK #modelling #using
HDL models of ferromagnetic core hysteresis using timeless discretisation of the magnetic slope (HAJ, TJK), pp. 644–645.
DATEDATE-2006-KavousianosKN #multi #performance #testing #using
Efficient test-data compression for IP cores using multilevel Huffman coding (XK, EK, DN), pp. 1033–1038.
DATEDATE-2006-SehgalGMC #design #framework
Hierarchy-aware and area-efficient test infrastructure design for core-based system chips (AS, SKG, EJM, KC), pp. 285–290.
DATEDATE-2006-ZengI #concurrent #testing #using
Concurrent core test for SOC using shared test set and scan chain disable (GZ, HI), pp. 1045–1050.
PODSPODS-2006-GottlobN #polynomial
Data exchange: computing cores in polynomial time (GG, AN), pp. 40–49.
SIGMODSIGMOD-2006-JainAAKPSV #design #evaluation #implementation #linear
Design, implementation, and evaluation of the linear road bnchmark on the stream processing core (NJ, LA, HA, RK, YP, PS, CV), pp. 431–442.
ESOPESOP-2006-BrobergS #calculus #policy #towards
Flow Locks: Towards a Core Calculus for Dynamic Flow Policies (NB, DS), pp. 180–196.
PEPMPEPM-2006-LiuWGRCZZ #data access #implementation #performance
Core role-based access control: efficient implementations by transformations (YAL, CW, MG, TR, YC, YZ, JZ), pp. 112–120.
ICFPICFP-2006-Abadi #calculus #data access #dependence
Access control in a core calculus of dependency (MA), pp. 263–273.
KDDKDD-2006-BuehrerPG #mining
Out-of-core frequent pattern mining on a commodity PC (GB, SP, AG), pp. 86–95.
ASPLOSASPLOS-2006-ChakrabortyWS #hardware #migration #on the fly
Computation spreading: employing hardware migration to specialize CMP cores on-the-fly (KC, PMW, GSS), pp. 283–292.
HPCAHPCA-2006-Yavatkar #challenge #design #framework #industrial
Industrial Perspectives: Platform Design Challenges with Many cores (RY), p. 201.
PPoPPPPoPP-2006-HuTH #algorithm #manycore #network #parallel #thread
High-performance IPv6 forwarding algorithm for multi-core and multithreaded network processor (XH, XT, BH), pp. 168–177.
PPoPPPPoPP-2006-SahaAHMH #manycore #memory management #named #performance #runtime #transaction
McRT-STM: a high performance software transactional memory system for a multi-core runtime (BS, ARAT, RLH, CCM, BH), pp. 187–197.
CAVCAV-2006-GershmanKS #satisfiability
Deriving Small Unsatisfiable Cores with Dominators (RG, MK, OS), pp. 109–122.
ICSTSAT-2006-DershowitzHN #algorithm #satisfiability #scalability
A Scalable Algorithm for Minimal Unsatisfiable Core Extraction (ND, ZH, AN), pp. 36–41.
WICSAWICSA-2005-CapillaD #component #integration #problem #product line #web
Integration Problems of Core Components in a Web Product Line (RC, JCD), pp. 215–216.
DACDAC-2005-Balderas-ContrerasC #encryption #network #performance
High performance encryption cores for 3G networks (TBC, RC), pp. 240–243.
DACDAC-2005-DililloGPVB #analysis #comparison #fault #injection
Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies (LD, PG, SP, AV, MB), pp. 857–862.
DACDAC-2005-MengBISLK #algorithm #design #estimation #performance
MP core: algorithm and design techniques for efficient channel estimation in wireless applications (YM, APB, RAI, TS, HL, RK), pp. 297–302.
DACDAC-2005-XuNC #constraints #design #embedded #multi #optimisation
Multi-frequency wrapper design and optimization for embedded cores under average power constraints (QX, NN, KC), pp. 123–128.
DATEDATE-2005-CheonLWWHCPCW #logic
At-Speed Logic BIST for IP Cores (BC, EL, LTW, XW, PH, JC, JP, HC, SW), pp. 860–861.
DATEDATE-2005-KienleBW
A Synthesizable IP Core for DVB-S2 LDPC Code Decoding (FK, TB, NW), pp. 100–105.
DATEDATE-2005-LyseckyV #case study #clustering #hardware #using
A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning (RLL, FV), pp. 18–23.
DATEDATE-2005-SehgalLOC #testing
Test Planning for Mixed-Signal SOCs with Wrapped Analog Cores (AS, FL, SO, KC), pp. 50–55.
ICDARICDAR-2005-SternbyE #framework
Core Points — A Framework For Structural Parameterization (JS, AE), pp. 217–221.
PODSPODS-2005-Gottlob #algorithm
Computing cores for data exchange: new algorithms and practical solutions (GG), pp. 148–159.
ITiCSEITiCSE-2005-Demaille #compilation
Making compiler construction projects relevant to core curriculums (AD), pp. 266–270.
ESOPESOP-2005-JeffreyR #java #semantics
Java Jr: Fully Abstract Trace Semantics for a Core Java Language (AJ, JR), pp. 423–438.
ICMLICML-2005-TsangKL #problem #scalability
Core Vector Regression for very large regression problems (IWT, JTK, KTL), pp. 912–919.
MLDMMLDM-2005-HammoudaMK #clustering #documentation #named
CorePhrase: Keyphrase Extraction for Document Clustering (KMH, DNM, MSK), pp. 265–274.
SACSAC-2005-BazizBAC #documentation #information retrieval #representation #semantics
Semantic cores for representing documents in IR (MB, MB, NAG, CC), pp. 1011–1017.
SACSAC-2005-BraunWHW #approach
Method construction — a core approach to organizational engineering (CB, FW, MH, RW), pp. 1295–1299.
SACSAC-2005-GruianAKS #automation #generative #java
Automatic generation of application-specific systems based on a micro-programmed Java core (FG, PA, KK, MS), pp. 879–884.
SPLCSPLC-2005-Trew #architecture #embedded #integration #product line
Enabling the Smooth Integration of Core Assets: Defining and Packaging Architectural Rules for a Family of Embedded Products (TT), pp. 137–149.
CCCC-2005-EnnalsSM #clustering #manycore #network
Task Partitioning for Multi-core Network Processors (RE, RS, AM), pp. 76–90.
PPoPPPPoPP-2005-GaoSLRLBS #modelling #optimisation #parallel #performance
Performance modeling and optimization of parallel out-of-core tensor contractions (XG, SKS, CCL, JR, QL, GB, PS), pp. 266–276.
VMCAIVMCAI-2005-ShenQL #incremental #satisfiability
Minimizing Counterexample with Unit Core Extraction and Incremental SAT (SS, YQ, SL), pp. 298–312.
DACDAC-2004-DeleganesBGKSW #integer #logic
Low voltage swing logic circuits for a Pentium 4 processor integer core (DJD, MB, GG, KK, APS, SW), pp. 678–680.
DACDAC-2004-TakayanagiSPSL
A dual-core 64b ultraSPARC microprocessor for dense server applications (TT, JLS, BP, JS, ASL), pp. 673–677.
DATEDATE-DF-2004-SchmittR #design #low cost #prototype #using #verification
Verification of a Microcontroller IP Core for System-on-a-Chip Designs Using Low-Cost Prototyping Environments (SS, WR), pp. 96–101.
DATEDATE-DF-2004-WortmannSM #architecture #performance
A High-Speed Transceiver Architecture Implementable as Synthesizable IP Core (AW, SS, MM), pp. 46–51.
DATEDATE-DF-2004-ZeferinoKS #named
RASoC: A Router Soft-Core for Networks-on-Chip (CAZ, MEK, AAS), pp. 198–205.
DATEDATE-v1-2004-BenabdenbiGPVT #named #testing
STEPS: Experimenting a New Software-Based Strategy for Testing SoCs Containing P1500-Compliant IP Cores (MB, AG, FP, EV, MT), pp. 712–713.
DATEDATE-v1-2004-XuN #design #multi #testing
Wrapper Design for Testing IP Cores with Multiple Clock Domains (QX, NN), pp. 416–421.
DATEDATE-v2-2004-BoladoPCHSSFB #framework #industrial #open source
Platform Based on Open-Source Cores for Industrial Applications (MB, HP, JC, PH, PS, CS, HF, FB), pp. 1014–1019.
DATEDATE-v2-2004-MuraliM #architecture
Bandwidth-Constrained Mapping of Cores onto NoC Architectures (SM, GDM), pp. 896–903.
DATEDATE-v2-2004-NakashimaIOM
ULSI Interconnect Length Distribution Model Considering Core Utilization (HN, JI, KO, KM), pp. 1210–1217.
DATEDATE-v2-2004-RizkPW #design #embedded #source code
Designing Self Test Programs for Embedded DSP Cores (HR, CAP, FGW), pp. 816–823.
DATEDATE-2005-BernardiMQR04 #approach #logic #testing #using
Testing Logic Cores using a BIST P1500 Compliant Approach: A Case of Study (PB, GM, FQ, MSR), pp. 228–233.
ESOPESOP-2004-Boudol #named #programming
ULM: A Core Programming Model for Global Computing: (Extended Abstract) (GB), pp. 234–248.
ICPRICPR-v4-2004-SelmaouiRLA #analysis #detection #image
Image Analysis for Core Geological Descriptions : Strata and Granulometry Detection (NS, BR, CLM, MA), pp. 305–310.
SACSAC-2004-BettiniBL #calculus #higher-order #mixin
A core calculus of higher-order mixins and classes (LB, VB, SL), pp. 1508–1509.
SACSAC-2004-PanisHLLN #design #embedded #named
DSPxPlore: design space exploration methodology for an embedded DSP core (CP, UH, GL, WL, JN), pp. 876–883.
SACSAC-2004-PutthividhyaTTW
Core selection with end-to-end QoS support (WP, MT, WT, JSW), pp. 328–333.
SACSAC-2004-UhrigU #fine-grained #parallel #power management #thread
Fine-grained power management for multithreaded processor cores (SU, TU), pp. 907–908.
HPCAHPCA-2004-Michaud #capacity #execution #manycore #migration
Exploiting the Cache Capacity of a Single-Chip Multi-Core Processor with Execution Migration (PM), pp. 186–197.
LCTESLCTES-2004-ContrerasMPJL #named
XTREM: a power simulator for the Intel XScale® core (GC, MM, JP, RJ, GYL), pp. 115–125.
ASEASE-2003-ShlyakhterSJST #debugging #declarative #modelling #satisfiability #using
Debugging Overconstrained Declarative Models Using Unsatisfiable Cores (IS, RS, DJ, MS, MT), pp. 94–105.
DATEDATE-2003-BaganneBEGM #case study #design #integration #multi
A Multi-Level Design Flow for Incorporating IP Cores: Case Study of 1D Wavelet IP Integration (AB, IB, ME, RG, EM), pp. 20250–20255.
DATEDATE-2003-CornoCRS #automation #generative
Fully Automatic Test Program Generation for Microprocessor Cores (FC, GC, MSR, GS), pp. 11006–11011.
DATEDATE-2003-KranitisXGPZ #low cost #self
Low-Cost Software-Based Self-Testing of RISC Processor Cores (NK, GX, DG, AMP, YZ), pp. 10714–10719.
DATEDATE-2003-LykakisMVNPSKPR #performance #protocol
Efficient Field Processing Cores in an Innovative Protocol Processor System-on-Chip (GL, NM, KV, NAN, SP, GS, GEK, DNP, DIR), pp. 20014–20019.
DATEDATE-2003-StolbergBFMFMKKP #architecture #manycore #named
HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing Applications (HJS, MB, LF, SM, SF, XM, MBK, HK, PP), pp. 20008–20013.
DATEDATE-2003-XuN #fault #testing
Delay Fault Testing of Core-Based Systems-on-a-Chi (QX, NN), pp. 10744–10752.
PODSPODS-2003-FaginKP
Data exchange: getting to the core (RF, PGK, LP), pp. 90–101.
ESOPESOP-2003-DanosL #biology
Core Formal Molecular Biology (VD, CL), pp. 302–318.
WCREWCRE-2003-HsiPM #concept #ontology
Ontological Excavation: Unearthing the core concepts of the application (IH, CP, MMM), pp. 345–352.
DACDAC-2002-RichardsonHHZSL #cpu
The iCOREtm 520 MHz synthesizable CPU core (NR, LBH, RH, TZ, NS, JL), pp. 640–645.
DATEDATE-2002-BonaSSZSZ #embedded #estimation #optimisation
An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores (AB, MS, DS, VZ, CS, RZ), p. 1128.
DATEDATE-2002-CotaCLO #design #testing
Test Planning and Design Space Exploration in a Core-Based Environment (ÉFC, LC, ML, AO), pp. 478–485.
DATEDATE-2002-KranitisPGZ #effectiveness #self
Effective Software Self-Test Methodology for Processor Cores (NK, AMP, DG, YZ), pp. 592–597.
CSEETCSEET-2002-ShuklaW #adaptation #programming #re-engineering
Adapting Extreme Programming for a Core Software Engineering Course (AS, LAW), pp. 184–191.
STOCSTOC-2002-BadoiuHI #approximate #clustering
Approximate clustering via core-sets (MB, SHP, PI), pp. 250–257.
ICPRICPR-v1-2002-ZhangW #algorithm #verification
Core-Based Structure Matching Algorithm of Fingerprint Verification (WZ, YW), pp. 70–74.
UMLUML-2002-SteimannK #reduction #semantics #uml
A Radical Reduction of UML’s Core Semantics (FS, TK), pp. 34–48.
DACDAC-2001-Albin #verification
Nuts and Bolts of Core and SoC Verification (KA), pp. 249–252.
DACDAC-2001-ChenBD #embedded #fault #testing #using
Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores (LC, XB, SD), pp. 317–320.
DACDAC-2001-LaiC #testing
Instruction-Level DFT for Testing Processor and IP Cores in System-on-a-Chip (WCL, KTC), pp. 59–64.
DACDAC-2001-MeguerdichianKMPP #design #named #optimisation
MetaCores: Design and Optimization Techniques (SM, FK, AM, DP, MP), pp. 585–590.
DATEDATE-2001-BenabdenbiMM #testing
Testing TAPed cores and wrapped cores with the same test access mechanism (MB, WM, MM), pp. 150–155.
DATEDATE-2001-CornoRSV #on the
On the test of microprocessor IP cores (FC, MSR, GS, MV), pp. 209–213.
DATEDATE-2001-JingnanVH #embedded #library
A Skill-based library for retargetable embedded analog cores (XJ, JCV, NH), pp. 768–769.
DATEDATE-2001-PaschalisGKPZ #embedded #self
Deterministic software-based self-testing of embedded processor cores (AMP, DG, NK, MP, YZ), pp. 92–96.
DATEDATE-2001-QuasemG #fault #simulation
Exact fault simulation for systems on Silicon that protects each core’s intellectual property (MSQ, SKG), p. 804.
ITiCSEITiCSE-2001-Learmonth #flexibility #information management
Flexible delivery of information systems as a core MBA subject (RL), pp. 29–32.
ICMLICML-2001-Thollard #algorithm #grammar inference #probability
Improving Probabilistic Grammatical Inference Core Algorithms with Post-processing Techniques (FT), pp. 561–568.
OOPSLAOOPSLA-2001-AnconaLZ #calculus #exception #java
A Core Calculus for Java Exceptions (DA, GL, EZ), pp. 16–30.
TOOLSTOOLS-EUROPE-2001-KleppeW #approach #architecture #aspect-oriented #case study #integration #metamodelling #modelling #object-oriented #uml
Integration of Static and Dynamic Core for UML: A Study in Dynamic Aspects of the pUML OO Meta Modelling Approach to the Rearchitecting of UML (AK, JW), pp. 66–77.
TOOLSTOOLS-EUROPE-2001-SnoeckD #concept #modelling #object-oriented
Core Modelling Concepts in Object-Oriented Conceptual Modelling (MS, GD), pp. 167–169.
TOOLSTOOLS-EUROPE-2001-SnoeckD01a #concept #modelling #object-oriented
Core Modelling Concepts in Object-Oriented Conceptual Modelling (full paper) (MS, GD), pp. 170–179.
SACSAC-2001-VuHT #algorithm #detection #image #performance #query
An efficient core-area detection algorithm for fast noise-free image query processing (KV, KAH, DAT), pp. 258–263.
DACDAC-2000-BergamaschiL #design #using
Designing systems-on-chip using cores (RAB, WRL), pp. 420–425.
DACDAC-2000-ChenDSSC #embedded #hardware #self
Embedded hardware and software self-testing methodologies for processor cores (LC, SD, PS, KS, YC), pp. 625–630.
DACDAC-2000-FinF #analysis #simulation
A Web-CAD methodology for IP-core analysis and simulation (AF, FF), pp. 597–600.
DACDAC-2000-GoelL #verification
Formal verification of an IBM CoreConnect processor local bus arbiter core (AG, WRL), pp. 196–200.
DACDAC-2000-Puig-MedinaEK #configuration management #verification
Verification of configurable processor cores (MPM, GE, PK), pp. 426–431.
DATEDATE-2000-LyseckyVG #latency
Techniques for Reducing Read Latency of Core Bus Wrappers (RLL, FV, TG), pp. 84–91.
ICPRICPR-v2-2000-ChoKBBY #classification #image
Core-Based Fingerprint Image Classification (BHC, JSK, JHB, IGB, KYY), pp. 2859–2862.
PADLPADL-2000-ChuangM #functional #programming #type system
Out-of-Core Functional Programming with Type-Based Primitives (TRC, SCM), pp. 32–46.
RTARTA-2000-Moreau #compilation
REM (Reduce Elan Machine): Core of the New ELAN Compiler (PEM), pp. 265–269.
DACDAC-1999-Henkel #approach #clustering #embedded #hardware #power management
A Low Power Hardware/Software Partitioning Approach for Core-Based Embedded Systems (JH), pp. 122–127.
DACDAC-1999-NotbauerANR #design #embedded #multi #verification
Verification and Management of a Multimillion-Gate Embedded Core Design (JN, TWA, GN, SR), pp. 425–428.
DACDAC-1999-PapachristouMN #testing
Microprocessor Based Testing for Core-Based System on Chip (CAP, FM, MN), pp. 586–591.
DATEDATE-1999-DickJ #multi #named #synthesis
MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis (RPD, NKJ), pp. 263–270.
DATEDATE-1999-JacomePRL #design
The Design Space Layer: Supporting Early Design Space Exploration for Core-Based Designs (MFJ, HPP, AR, JCL), pp. 676–683.
DATEDATE-1999-PaschalisKPGZ #architecture #effectiveness #multi #performance
An Effective BIST Architecture for Fast Multiplier Cores (AMP, NK, MP, DG, YZ), pp. 117–121.
ITiCSEITiCSE-1999-Kubilus #development #education #experience #multi
Multi-team development project experience in a core computer science curriculum (NJK), p. 186.
FMFM-v1-1999-ZhouC #analysis #communication #formal method #protocol
Formal Analysis of a Secure Communication Channel: Secure Core-Email Protocol (DZ, SKC), pp. 758–775.
HCIHCI-CCAD-1999-Komischke #branch #identification #process #sequence
Identifying core sequences in process control across branches (TK), pp. 1301–1305.
UMLUML-1999-EvansK #approach #metamodelling #semantics #uml
Core Meta-Modelling Semantics of UML: The pUML Approach (AE, SK), pp. 140–155.
ECOOPECOOP-1999-BonoPS #calculus #mixin
A Core Calculus of Classes and Mixins (VB, AP, VS), pp. 43–66.
OOPSLAOOPSLA-1999-IgarashiPW #calculus #java
Featherweight Java: A Minimal Core Calculus for Java and GJ (AI, BCP, PW), pp. 132–146.
POPLPOPL-1999-AbadiBHR #calculus #dependence
A Core Calculus of Dependency (MA, AB, NH, JGR), pp. 147–160.
DACDAC-1998-AlbrechtNR #benchmark #design #embedded #estimation #metric #performance
HW/SW CoVerification Performance Estimation and Benchmark for a 24 Embedded RISC Core Design (TWA, JN, SR), pp. 808–811.
DACDAC-1998-GhoshDJ #low cost #performance #testing
A Fast and Low Cost Testing Technique for Core-Based System-on-Chip (IG, SD, NKJ), pp. 542–547.
DACDAC-1998-HongKQPS #optimisation
Power Optimization of Variable Voltage Core-Based Systems (IH, DK, GQ, MP, MBS), pp. 176–181.
DACDAC-1998-UsamiIIKTHATK #design #power management #scalability
Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques (KU, MI, TI, MK, MT, MH, HA, TT, TK), pp. 483–488.
DACDAC-1998-YangKNCSRKLLKYKLHKKPPLHPK #development #named
MetaCore: An Application Specific DSP Development System (JHY, BWK, SJN, JHC, SWS, CHR, YSK, DHL, JYL, JSK, HDY, JYK, KML, CSH, IHK, JSK, KIP, KHP, YHL, SHH, ICP, CMK), pp. 800–803.
DATEDATE-1998-NordholzGTONAW #testing
Core Interconnect Testing Hazards (PN, HG, DT, JO, DN, UA, TWW), pp. 953–954.
DATEDATE-1998-NouraniP #fault #testing
A Bypass Scheme for Core-Based System Fault Testing (MN, CAP), pp. 979–980.
DATEDATE-1998-OlcozCGG #static analysis #tool support
Static Analysis Tools for Soft-Core Reviews and Audits (SO, AC, MG, JAG), pp. 935–936.
DATEDATE-1998-RunjeK #encryption #implementation
Universal Strong Encryption FPGA Core Implementation (DR, MK), pp. 923–924.
DATEDATE-1998-ZhaoP #self #source code #testing
Testing DSP Cores Based on Self-Test Programs (WZ, CAP), pp. 166–172.
WRLAWRLA-1998-VisserB
A core language for rewriting (EV, ZEAB), pp. 422–441.
ICFPICFP-1998-Taylor #formal method
A Theory of Core Fudgets (CJT), pp. 75–85.
DACDAC-1997-Marwedel #code generation
Code Generation for Core Processors (PM), pp. 232–237.
DATEEDTC-1997-HendricxC #approach #verification
A symbolic core approach to the formal verification of integrated mixed-mode applications (SH, LJMC), pp. 432–436.
ICFPICFP-1996-FerreiraHJ #bisimulation #formal method
A Theory of Weak Bisimulation for Core CML (WF, MH, AJ), pp. 201–212.
HPDCHPDC-1996-LewisG
The Core Legion Object Model (MJL, ASG), pp. 551–561.
DACDAC-1995-TimmerSMJ #code generation #modelling #scheduling
Conflict Modelling and Instruction Scheduling in Code Generation for In-House DSP Cores (AHT, MTJS, JLvM, JAGJ), pp. 593–598.
CSEETCSEE-1995-GarlanBJTW #education #re-engineering
The CMU Master of Software Engineering Core Curriculum (DG, AWB, DJ, JET, JMW), pp. 65–86.
HPDCHPDC-1995-Kotz
Disk-Directed I/O for an Out-of-Core Computation (DK), pp. 159–166.
PPoPPPPoPP-1995-BordawekarCKKP #compilation #parallel #source code
A Model and Compilation Strategy for Out-of-Core Data Parallel Programs (RB, ANC, KK, CK, MHP), pp. 1–10.
ICALPICALP-1994-KanellakisHM #analysis #re-engineering
An Analysis of the Core-ML Language: Expressive Power and Type Reconstruction (PCK, GGH, HGM), pp. 83–105.
AdaTRI-Ada-C-1992-Simonian #ada #development
Software Development in Core: The Application of Ada and Spiral Development (RPS), pp. 249–257.
HTHT-1991-EganLKLRLL #hypermedia #library
Hypertext for the Electronic Library? CORE Sample Results (DEE, ML, RDK, CCL, JRR, MLL, TKL), pp. 299–312.
SIGIRSIGIR-1991-Lesk #library
The CORE Electronic Chemistry Library (ML), pp. 93–112.
DACDAC-1989-SmithDDCHJd #development
FACE Core Environment: The Model and Its Application in CAE/CAD Tool Development (WDS, DAD, MD, JC, MJH, JRJ, MAd), pp. 466–471.
STOCSTOC-1989-GoldreichL
A Hard-Core Predicate for all One-Way Functions (OG, LAL), pp. 25–32.
CSLCSL-1989-Borger #logic #prolog #semantics
A Logical Operational Semantics of Full Prolog. Part I: Selection Core and Control (EB), pp. 36–64.
CSLCSL-1987-Schoning #complexity
Complexity Cores and Hard-To-Prove Formulas (US), pp. 273–280.
ICALPICALP-1985-OrponenRS #complexity #polynomial
Polynomial Levelability and Maximal Complexity Cores (PO, DAR, US), pp. 435–444.
STOCSTOC-1984-SlotB #on the #performance
On Tape Versus Core; An Application of Space Efficient Perfect Hash Functions to the Invariance of Space (CFS, PvEB), pp. 391–400.
ICSEICSE-1979-Mullery
CORE : A Method for Controlled Requirement Expression (GPM), pp. 126–135.
SOSPSOSP-1967-Fuchel68 #design #multi
Considerations in the design of a multiple computer system with extended core storage (KF), pp. 334–340.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.