BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
circuit (95)
program (51)
pattern (47)
use (43)
test (42)

Stem sequenti$ (all stems)

481 papers:

CASECASE-2015-TheorinB #implementation #symmetry
Implementation of an asymmetric relay autotuner in a sequential control language (AT, JB), pp. 874–879.
DACDAC-2015-DaiKB #equivalence
Sequential equivalence checking of clock-gated circuits (YYD, KYK, RKB), p. 6.
DATEDATE-2015-LiuHDCPKKTR
Clock domain crossing aware sequential clock gating (JL, MSH, KTD, JYC, JP, MK, MK, NT, AR), pp. 1–6.
DATEDATE-2015-SunKPE #algebra #geometry #using #verification
Formal verification of sequential Galois field arithmetic circuits using algebraic geometry (XS, PK, TP, FE), pp. 1623–1628.
ESOPESOP-2015-SieczkowskiSBP #consistency #logic
A Separation Logic for Fictional Sequential Consistency (FS, KS, LB, JPP), pp. 736–761.
TACASTACAS-2015-Nguyen0TP #bound #c #contest #lazy evaluation #source code
Unbounded Lazy-CSeq: A Lazy Sequentialization Tool for C Programs with Unbounded Context Switches — (Competition Contribution) (TLN, BF, SLT, GP), pp. 461–463.
TACASTACAS-2015-TomascoI0TP #contest #memory management
MU-CSeq 0.3: Sequentialization by Read-Implicit and Coarse-Grained Memory Unwindings — (Competition Contribution) (ET, OI, BF, SLT, GP), pp. 436–438.
DLTDLT-2015-JeckerF #multi #word
Multi-sequential Word Relations (IJ, EF), pp. 288–299.
ICALPICALP-v2-2015-JagadeesanR #consistency #specification
From Sequential Specifications to Eventual Consistency (RJ, JR), pp. 247–259.
FMFM-2015-AlbertACGGMPR #analysis #concurrent #distributed #source code
Resource Analysis: From Sequential to Concurrent and Distributed Programs (EA, PA, JC, SG, MGZ, EMM, GP, GRD), pp. 3–17.
HCIHIMI-IKC-2015-WangN #analysis #identification #matrix
Dot Matrix Analysis of Plant Operation Data for Identifying Sequential Alarms Triggered by Single Root Cause (ZW, MN), pp. 152–158.
ICMLICML-2015-DasBB #modelling #order #parametricity
Ordered Stick-Breaking Prior for Sequential MCMC Inference of Bayesian Nonparametric Models (MKD, TB, CB), pp. 550–559.
ICMLICML-2015-NaessethLS #monte carlo
Nested Sequential Monte Carlo Methods (CAN, FL, TBS), pp. 1292–1301.
KDDKDD-2015-Yi0YLW #algorithm #clustering #constraints #performance
An Efficient Semi-Supervised Clustering Algorithm with Sequential Constraints (JY, LZ, TY, WL, JW), pp. 1405–1414.
MLDMMLDM-2015-ZidaFWLT #mining #performance
Efficient Mining of High-Utility Sequential Rules (SZ, PFV, CWW, JCWL, VST), pp. 157–171.
SIGIRSIGIR-2015-Carterette #effectiveness #random #testing
The Best Published Result is Random: Sequential Testing and its Effect on Reported Effectiveness (BC), pp. 747–750.
SIGIRSIGIR-2015-KharitonovVMSO #online #testing
Sequential Testing for Early Stopping of Online Experiments (EK, AV, CM, PS, IO), pp. 473–482.
SIGIRSIGIR-2015-LiDDCZB #behaviour #markov #process #query
Analyzing User’s Sequential Behavior in Query Auto-Completion via Markov Processes (LL, HD, AD, YC, HZ, RABY), pp. 123–132.
SIGIRSIGIR-2015-WangLWZNM #behaviour #modelling
Incorporating Non-sequential Behavior into Click Models (CW, YL, MW, KZ, JYN, SM), pp. 283–292.
SIGIRSIGIR-2015-ZhiltsovKN #ad hoc #dependence #retrieval #web
Fielded Sequential Dependence Model for Ad-Hoc Entity Retrieval in the Web of Data (NZ, AK, FN), pp. 253–262.
SACSAC-2015-AmadiniGM #named
SUNNY-CP: a sequential CP portfolio solver (RA, MG, JM), pp. 1861–1867.
SACSAC-2015-BoitoKND #performance #profiling #towards
Towards fast profiling of storage devices regarding access sequentiality (FZB, RK, POAN, YD), pp. 2015–2020.
CAVCAV-2015-ManskyGZ #axiom #memory management #modelling #specification
An Axiomatic Specification for Sequential Memory Models (WM, DG, SZ), pp. 413–428.
TAPTAP-2015-GenestierGP #array #deduction #generative #verification
Sequential Generation of Structured Arrays and Its Deductive Verification (RG, AG, GP), pp. 109–128.
DACDAC-2014-RudraDNH #case study #design #logic
Designing Stealthy Trojans with Sequential Logic: A Stream Cipher Case Study (MRR, NAD, VN, DHKH), p. 4.
DATEDATE-2014-RanjanRVRR #approximate #named #synthesis
ASLAN: Synthesis of approximate sequential circuits (AR, AR, SV, KR, AR), pp. 1–6.
VLDBVLDB-2014-ZhangHSLP #fine-grained #mining #named #semantics
Splitter: Mining Fine-Grained Sequential Patterns in Semantic Trajectories (CZ, JH, LS, JL, TFLP), pp. 769–780.
ESOPESOP-2014-AguadoMHF #concurrent #programming
Grounding Synchronous Deterministic Concurrency in Sequential Programming (JA, MM, RvH, IF), pp. 229–248.
TACASTACAS-2014-CaballeroMRT #debugging #declarative #erlang #named #source code
EDD: A Declarative Debugger for Sequential Erlang Programs (RC, EMM, AR, ST), pp. 581–586.
TACASTACAS-2014-InversoT0TP #c #contest #lazy evaluation #named
Lazy-CSeq: A Lazy Sequentialization Tool for C — (Competition Contribution) (OI, ET, BF, SLT, GP), pp. 398–401.
TACASTACAS-2014-LoweMW #analysis #contest
CPAchecker with Sequential Combination of Explicit-Value Analyses and Predicate Analyses — (Competition Contribution) (SL, MUM, PW), pp. 392–394.
TACASTACAS-2014-TomascoI0TP #c #contest #memory management #named #source code
MU-CSeq: Sequentialization of C Programs by Shared Memory Unwindings — (Competition Contribution) (ET, OI, BF, SLT, GP), pp. 402–404.
PLDIPLDI-2014-HanxledenDMSMAMO #named #safety
SCCharts: sequentially constructive statecharts for safety-critical applications: HW/SW-synthesis for a conservative extension of synchronous statecharts (RvH, BD, CM, SS, MM, JA, SM, OO), p. 39.
LATALATA-2014-LaurenceLNST #learning #transducer
Learning Sequential Tree-to-Word Transducers (GL, AL, JN, SS, MT), pp. 490–502.
CHICHI-2014-AndreKK #collaboration #distributed
Effects of simultaneous and sequential work structures on distributed collaborative interdependent tasks (PA, REK, AK), pp. 139–148.
CHICHI-2014-ChristensenB #named
Documentscape: intertextuality, sequentiality, & autonomy at work (LRC, PB), pp. 2451–2460.
CIKMCIKM-2014-WalkSS #case study #collaboration
Sequential Action Patterns in Collaborative Ontology-Engineering Projects: A Case-Study in the Biomedical Domain (SW, PS, MS), pp. 1349–1358.
ICMLICML-c2-2014-BartunovV #distance #process
Variational Inference for Sequential Distance Dependent Chinese Restaurant Process (SB, DV), pp. 1404–1412.
ICMLICML-c2-2014-JunB #memory management #monte carlo #performance
Memory (and Time) Efficient Sequential Monte Carlo (SHJ, ABC), pp. 514–522.
ICPRICPR-2014-YamazakiHF #visual notation
Sensing Visual Attention by Sequential Patterns (YY, HH, KF), pp. 483–488.
KDDKDD-2014-LiuZXJ0 #categorisation #visualisation
Temporal skeletonization on sequential data: patterns, categorization, and visualization (CL, KZ, HX, GJ, QY), pp. 1336–1345.
MLDMMLDM-2014-WangLK #concurrent #data mining #mining
Applications of Concurrent Sequential Patterns in Protein Data Mining (CW, JL, MK), pp. 243–257.
SACSAC-2014-HuWZ #documentation #internet #topic
The discovery of user related rare sequential patterns of topics in the internet document stream (ZH, HW, JZ), pp. 137–138.
FSEFSE-2014-SunZLZWZK #query #re-engineering
Querying sequential software engineering data (CS, HZ, JGL, HZ, QW, DZ, SCK), pp. 700–710.
ASPLOSASPLOS-2014-ZhaoWS #finite #state machine
Challenging the “embarrassingly sequential”: parallelizing finite state machine-based computations through principled speculation (ZZ, BW, XS), pp. 543–558.
CAVCAV-2014-InversoT0TP #bound #c #concurrent #lazy evaluation #model checking #multi #source code #thread
Bounded Model Checking of Multi-threaded C Programs via Lazy Sequentialization (OI, ET, BF, SLT, GP), pp. 585–602.
LICSLICS-CSL-2014-RouxP #game studies #infinity
Infinite sequential games with real-valued payoffs (SLR, AP), p. 10.
ASEASE-2013-0002IP #c #concurrent #named #preprocessor #tool support #verification
CSeq: A concurrency pre-processor for sequential C verification tools (BF, OI, GP), pp. 710–713.
DATEDATE-2013-HanxledenMADFMMO #concurrent
Sequentially constructive concurrency: a conservative extension of the synchronous model of computation (RvH, MM, JA, BD, IF, CM, SM, OO), pp. 581–586.
DATEDATE-2013-LiuLHCLL #clustering #effectiveness #linear #network #programming #prototype #statistics
Effective power network prototyping via statistical-based clustering and sequential linear programming (SYSL, CJL, CCH, HMC, CTL, CHL), pp. 1701–1706.
DATEDATE-2013-MishchenkoEBCCS
A semi-canonical form for sequential AIGs (AM, NE, RKB, MLC, PC, NS), pp. 797–802.
ESOPESOP-2013-BouajjaniEEH #concurrent #source code #specification #verification
Verifying Concurrent Programs against Sequential Specifications (AB, ME, CE, JH), pp. 290–309.
TACASTACAS-2013-0002IP #c #contest #named
CSeq: A Sequentialization Tool for C — (Competition Contribution) (BF, OI, GP), pp. 616–618.
TACASTACAS-2013-Wendler #analysis #contest
CPAchecker with Sequential Combination of Explicit-State Analysis and Predicate Analysis — (Competition Contribution) (PW), pp. 613–615.
CSMRCSMR-2013-Molitorisz #process #refactoring #source code
Pattern-Based Refactoring Process of Sequential Source Code (KM), pp. 357–360.
LATALATA-2013-BalaK #ambiguity #automaton
Unambiguous Automata Denoting Finitely Sequential Functions (SB, AK), pp. 104–115.
HCIHIMI-LCCB-2013-KometaniTFA #education #feedback #student #using #video
Video Feedback System for Teaching Improvement Using Students’ Sequential and Overall Teaching Evaluations (YK, TT, TF, TA), pp. 79–88.
CIKMCIKM-2013-BonomiX #algorithm #difference #mining #privacy
A two-phase algorithm for mining sequential patterns with differential privacy (LB, LX), pp. 269–278.
ICMLICML-c2-2013-WenKEB
Sequential Bayesian Search (ZW, BK, BE, SB), pp. 226–234.
SIGIRSIGIR-2013-WebberBLO #classification #effectiveness #evaluation #testing
Sequential testing in classifier evaluation yields biased estimates of effectiveness (WW, MB, DDL, DWO), pp. 933–936.
POPLPOPL-2013-Tate #semantics
The sequential semantics of producer effect systems (RT), pp. 15–26.
SACSAC-2013-Fournier-VigerT #mining #named
TNS: mining top-k non-redundant sequential rules (PFV, VST), pp. 164–166.
ICSEICSE-2013-PradelG #automation #concurrent #testing
Automatic testing of sequential and concurrent substitutability (MP, TRG), pp. 282–291.
ASPLOSASPLOS-2013-QianTSQ #consistency #detection #named #precise #scalability
Volition: scalable and precise sequential consistency violation detection (XQ, JT, BS, DQ), pp. 535–548.
ICLPICLP-J-2013-ArbelaezTC #parallel #predict #runtime #satisfiability #using
Using sequential runtime distributions for the parallel speedup prediction of SAT local search (AA, CT, PC), pp. 625–639.
TAPTAP-2013-CaballeroMRT #debugging #declarative #erlang #source code
A Declarative Debugger for Sequential Erlang Programs (RC, EMM, AR, ST), pp. 96–114.
TLCATLCA-2013-BerardiT #backtracking #game studies #logic #semantics #subclass
Games with Sequential Backtracking and Complete Game Semantics for Subclassical Logics (SB, MT), pp. 61–76.
VMCAIVMCAI-2013-ChakiGKS #composition #source code
Compositional Sequentialization of Periodic Programs (SC, AG, SK, OS), pp. 536–554.
ASEASE-2012-SongT #model checking #named #source code
PuMoC: a CTL model-checker for sequential programs (FS, TT), pp. 346–349.
DATEDATE-2012-VyagrheswaruduDR #framework #interactive #named #optimisation
PowerAdviser: An RTL power platform for interactive sequential optimizations (NV, SD, AR), pp. 550–553.
FASEFASE-2012-SilvaTT #dependence #erlang #graph
System Dependence Graphs in Sequential Erlang (JS, ST, CT), pp. 486–500.
GRAPHITEGRAPHITE-2012-Cormie-Bowins #comparison #gpu #implementation #reachability
A Comparison of Sequential and GPU Implementations of Iterative Methods to Compute Reachability Probabilities (ECB), pp. 20–34.
CIKMCIKM-2012-YuanW #correlation
Sequential selection of correlated ads by POMDPs (SY, JW), pp. 515–524.
ICMLICML-2012-GuL #parametricity
Sequential Nonparametric Regression (HG, JDL), p. 54.
KDDKDD-2012-YinZC #algorithm #mining #named #performance
USpan: an efficient algorithm for mining high utility sequential patterns (JY, ZZ, LC), pp. 660–668.
MLDMMLDM-2012-GlodekSP #detection #process #recognition
Detecting Actions by Integrating Sequential Symbolic and Sub-symbolic Information in Human Activity Recognition (MG, FS, GP), pp. 394–404.
RecSysRecSys-2012-HaririMB #music #recommendation #topic
Context-aware music recommendation based on latenttopic sequential patterns (NH, BM, RDB), pp. 131–138.
ASPLOSASPLOS-2012-LinNGR #consistency #performance
Efficient sequential consistency via conflict ordering (CL, VN, RG, BR), pp. 273–286.
CGOCGO-2012-ZouR #detection #parallel #source code
Scan detection and parallelization in “inherently sequential” nested loop programs (YZ, SVR), pp. 74–83.
PPoPPPPoPP-2012-BurnimENS #correctness #named #nondeterminism #parallel #specification
NDetermin: inferring nondeterministic sequential specifications for parallelism correctness (JB, TE, GCN, KS), pp. 329–330.
PPoPPPPoPP-2012-KamilCBCGHMF #domain-specific language #effectiveness #embedded #parallel #performance
Portable parallel performance from sequential, productive, embedded domain-specific languages (SK, DC, SB, HC, EG, JH, JM, AF), pp. 303–304.
CASECASE-2011-FeiMA #automaton #finite #modelling #resource management #using
Modeling sequential resource allocation systems using Extended Finite Automata (ZF, SM, ), pp. 444–449.
CASECASE-2011-NazeemR #classification #concurrent #design #policy #resource management
Designing maximally permissive deadlock avoidance policies for sequential resource allocation systems through classification theory (AN, SAR), pp. 405–412.
DACDAC-2011-JiangRP
Synchronous sequential computation with molecular reactions (HJ, MDR, KKP), pp. 836–841.
CSEETCSEET-2011-IyerMJ #incremental #problem
Incremental sequential problem based training model — Institute corporate readiness (SI, SM, AMJ), pp. 507–511.
TACASTACAS-2011-BurnimSS #consistency #memory management #modelling #monitoring
Sound and Complete Monitoring of Sequential Consistency for Relaxed Memory Models (JB, KS, CS), pp. 11–25.
SCAMSCAM-J-2009-Giffhorn11 #concurrent #source code
Advanced chopping of sequential and concurrent programs (DG), pp. 239–294.
PLDIPLDI-2011-BurnimENS #correctness #named #nondeterminism #parallel #runtime #specification
NDSeq: runtime checking for nondeterministic sequential specifications of parallel correctness (JB, TE, GCN, KS), pp. 401–414.
SASSAS-2011-BouajjaniEP #concurrent #on the #source code
On Sequentializing Concurrent Programs (AB, ME, GP), pp. 129–145.
LATALATA-2011-LaurenceLNST #normalisation #top-down #transducer
Normalization of Sequential Top-Down Tree-to-Word Transducers (GL, AL, JN, SS, MT), pp. 354–365.
CSCWCSCW-2011-MooreCK #interactive #internet #query
Three sequential positions of query repair in interactions with internet search engines (RJM, EFC, RGPK), pp. 415–424.
CIKMCIKM-2011-DongZCZZLWO #database #mining #named #performance
e-NSP: efficient negative sequential pattern mining based on identified positive patterns without database rescanning (XD, ZZ, LC, YZ, CZ, JL, WW, YO), pp. 825–830.
ECIRECIR-2011-Dulac-ArnoldDG #approach #classification
Text Classification: A Sequential Reading Approach (GDA, LD, PG), pp. 411–423.
KDDKDD-2011-Gkoulalas-DivanisL
Revisiting sequential pattern hiding to enhance utility (AGD, GL), pp. 1316–1324.
KDDKDD-2011-RaissiP #bound #towards
Towards bounding sequential patterns (CR, JP), pp. 1379–1387.
KEODKEOD-2011-Yamasaki #distributed
Sequential Knowledge Structure in Distributed System with Awareness (SY), pp. 293–298.
OOPSLAOOPSLA-2011-CledatKP #programming
Efficiently speeding up sequential computation through the n-way programming model (RC, TK, SP), pp. 537–554.
OOPSLAOOPSLA-2011-Sutherland
The sequential prison (IS), pp. 1–2.
SACSAC-2011-Fournier-VigerNT #mining #named #sequence
RuleGrowth: mining sequential rules common to several sequences by pattern-growth (PFV, RN, VSMT), pp. 956–961.
ASPLOSASPLOS-2011-VeeraraghavanLWOCFN #named
DoublePlay: parallelizing sequential logging and replay (KV, DL, BW, JO, PMC, JF, SN), pp. 15–26.
ASPLOSASPLOS-2011-ZhangLOSJLR #concurrent #debugging #detection #fault #named
ConSeq: detecting concurrency bugs through sequential errors (WZ, JL, RO, JS, GJ, SL, TWR), pp. 251–264.
CAVCAV-2011-Lahiri #analysis #composition #smt
SMT-Based Modular Analysis of Sequential Systems Code (SKL), pp. 21–27.
DATEDATE-2010-IqbalSH10a #estimation #execution #graph #monte carlo #named
DAGS: Distribution agnostic sequential Monte Carlo scheme for task execution time estimation (NI, MAS, JH), pp. 1645–1648.
DATEDATE-2010-LongM10a #dependence #scheduling
Inversed Temperature Dependence aware clock skew scheduling for sequential circuits (JL, SOM), pp. 1657–1660.
DATEDATE-2010-WuM #scheduling
Clock skew scheduling for soft-error-tolerant sequential circuits (KCW, DM), pp. 717–722.
DRRDRR-2010-ZhangZLT #learning #recognition
A stacked sequential learning method for investigator name recognition from web-based medical articles (XZ, JZ, DXL, GRT), pp. 1–10.
ESOPESOP-2010-DeshmukhRRV #concurrent #logic #proving
Logical Concurrency Control from Sequential Proofs (JVD, GR, VPR, KV), pp. 226–245.
ICSMEICSM-2010-RountevVYS #comprehension #dependence #java #source code
Understanding parallelism-inhibiting dependences in sequential Java programs (AR, KVV, DY, PS), pp. 1–9.
STOCSTOC-2010-ChawlaHMS #design #multi
Multi-parameter mechanism design and sequential posted pricing (SC, JDH, DLM, BS), pp. 311–320.
CIAACIAA-2010-CimattiMRT #automaton #nondeterminism #regular expression
From Sequential Extended Regular Expressions to NFA with Symbolic Labels (AC, SM, MR, ST), pp. 87–94.
IFMIFM-2010-Bostrom #modelling #source code
Creating Sequential Programs from Event-B Models (PB), pp. 74–88.
CIKMCIKM-2010-JensenS #classification
Exploiting sequential relationships for familial classification (LSJ, JGS), pp. 1901–1904.
ICMLICML-2010-WangKC #learning
Sequential Projection Learning for Hashing with Compact Codes (JW, SK, SFC), pp. 1127–1134.
ICPRICPR-2010-CaoZL #markov #random #using
Human Body Parts Tracking Using Sequential Markov Random Fields (XQC, JZ, ZQL), pp. 1759–1762.
ICPRICPR-2010-Kita #modelling
Background Modeling by Combining Joint Intensity Histogram with Time-sequential Data (YK), pp. 991–994.
ICPRICPR-2010-PaivaJT #analysis #image #using
Using Sequential Context for Image Analysis (ARCP, EJ, TT), pp. 2800–2803.
KDIRKDIR-2010-ZakourSMM #constraints
Time Constraints Extension on Frequent Sequential Patterns (ABZ, MS, SM, MM), pp. 281–287.
KRKR-2010-SiddiqiH #roadmap
New Advances in Sequential Diagnosis (SAS, JH).
OOPSLAOOPSLA-2010-ShiPYLZCZ #concurrent #debugging #detection #invariant
Do I use the wrong definition?: DeFuse: definition-use invariants for detecting concurrency and sequential bugs (YS, SP, ZY, SL, YZ, WC, WZ), pp. 160–174.
OOPSLAOOPSLA-2010-SwaineTDFF #incremental #parallel #runtime
Back to the futures: incremental parallelization of existing sequential runtime systems (JS, KT, PAD, RBF, MF), pp. 583–597.
POPLPOPL-2010-AttiyaRR #verification
Sequential verification of serializability (HA, GR, NR), pp. 31–42.
CSLCSL-2010-ChurchillL #logic
A Logic of Sequentiality (MC, JL), pp. 215–229.
DACDAC-2009-ChauhanGHMS #equivalence
Non-cycle-accurate sequential equivalence checking (PC, DG, GH, AM, NS), pp. 460–465.
DATEDATE-2009-HolcombLS #analysis #design #fault
Design as you see FIT: System-level soft error analysis of sequential circuits (DEH, WL, SAS), pp. 785–790.
DATEDATE-2009-KravetsM #logic #synthesis #using
Sequential logic synthesis using symbolic bi-decomposition (VNK, AM), pp. 1458–1463.
DATEDATE-2009-YangSVBS #approximate #logic
Sequential logic rectifications with approximate SPFDs (YSY, SS, AGV, RKB, DES), pp. 1698–1703.
VLDBVLDB-2009-GolabKKSS #dependence
Sequential Dependencies (LG, HJK, FK, AS, DS), pp. 574–585.
SCAMSCAM-2009-LangeM #communication #csp #named #process #thread #towards
thr2csp: Toward Transforming Threads into Communicating Sequential Processes (RCL, SM), pp. 3–12.
PLDIPLDI-2009-MehraraHHM #hardware #low cost #memory management #transaction #using
Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory (MM, JH, PCH, SAM), pp. 166–176.
ICEISICEIS-AIDSS-2009-Jou #algorithm #hybrid #mining
A Projection-based Hybrid Sequential Patterns Mining Algorithm (CJ), pp. 152–157.
CIKMCIKM-2009-GwaderaC #mining #ranking #using
Mining and ranking streams of news stories using cross-stream sequential patterns (RG, FC), pp. 1709–1712.
ICMLICML-2009-GarnettOR #predict
Sequential Bayesian prediction in the presence of changepoints (RG, MAO, SJR), pp. 345–352.
MLDMMLDM-2009-HasanG #adaptation #classification #modelling
Sequential EM for Unsupervised Adaptive Gaussian Mixture Model Based Classifier (BASH, JQG), pp. 96–106.
SACSAC-2009-LeoniGLM #adaptation #mobile #online #process
On-line adaptation of sequential mobile processes running concurrently (MdL, GDG, YL, MM), pp. 1345–1352.
SACSAC-2009-LimaH #approach #using
Computing data cubes using exact sub-graph matching: the sequential MCG approach (JdCL, CMH), pp. 1541–1548.
ICSEICSE-2009-DigME #concurrent #java #library #refactoring
Refactoring sequential Java code for concurrency via concurrent libraries (DD, JM, MDE), pp. 397–407.
CGOCGO-2009-DuanFWZY #c #c++ #concurrent #consistency #detection #source code
Detecting and Eliminating Potential Violations of Sequential Consistency for Concurrent C/C++ Programs (YD, XF, LW, CZ, PCY), pp. 25–34.
CAVCAV-2009-TorreMP #bound #concurrent #reachability
Reducing Context-Bounded Concurrent Reachability to Sequential Reachability (SLT, PM, GP), pp. 477–492.
CSLCSL-2009-Laird #nondeterminism
Nondeterminism and Observable Sequentiality (JL), pp. 379–393.
ICSTSAT-2009-ArgelichCLM #encoding #satisfiability
Sequential Encodings from Max-CSP into Partial Max-SAT (JA, AC, IL, FM), pp. 161–166.
DACDAC-2008-CaseKMB
Merging nodes under sequential observability (MLC, VNK, AM, RKB), pp. 540–545.
DACDAC-2008-HuSMH #multi #reduction
FPGA area reduction by multi-output function based sequential resynthesis (YH, VS, RM, LH), pp. 24–29.
DACDAC-2008-Moon #composition #optimisation #verification
Compositional verification of retiming and sequential optimizations (IHM), pp. 131–136.
DACDAC-2008-UrardMGC #equivalence
Leveraging sequential equivalence checking to enable system-level to RTL flows (PU, AM, RG, NC), pp. 816–821.
ICGTICGT-2008-BonchiGH #independence #parallel
Parallel and Sequential Independence for Borrowed Contexts (FB, FG, TH), pp. 226–241.
ICGTICGT-2008-Echahed #graph grammar #term rewriting
Inductively Sequential Term-Graph Rewrite Systems (RE), pp. 84–98.
CIKMCIKM-2008-KaghazianMS #scalability
Scalable complex pattern search in sequential data (LK, DM, RS), pp. 1467–1468.
ICPRICPR-2008-MatsuiCM #automation #detection
Bayesian sequential face detection with automatic re-initialization (AM, SC, TM), pp. 1–4.
ICPRICPR-2008-UchidaA #classification #recognition
Early recognition of sequential patterns by classifier combination (SU, KA), pp. 1–4.
ICPRICPR-2008-WatanabeKI #3d #image #integration #re-engineering
Integration of time-sequential range images for reconstruction of a high-resolution 3D shape (YW, TK, MI), pp. 1–4.
KDDKDD-2008-KeerthiSCHL #linear #multi #scalability
A sequential dual method for large scale multi-class linear svms (SSK, SS, KWC, CJH, CJL), pp. 408–416.
KRKR-2008-PiniRVW #nondeterminism
Dealing with Incomplete Agents’ Preferences and an Uncertain Agenda in Group Decision Making via Sequential Majority Voting (MSP, FR, KBV, TW), pp. 571–578.
SIGIRSIGIR-2008-SerdyukovRH #dependence
Exploiting sequential dependencies for expert finding (PS, HR, DH), pp. 795–796.
HPCAHPCA-2008-ZhongMLM #parallel
Uncovering hidden loop level parallelism in sequential applications (HZ, MM, SAL, SAM), pp. 290–301.
CAVCAV-2008-LalR #analysis #bound #concurrent
Reducing Concurrent Analysis Under a Context Bound to Sequential Analysis (AL, TWR), pp. 37–51.
ASEASE-2007-ZaraketAK #program analysis
Sequential circuits for program analysis (FAZ, AA, SK), pp. 114–123.
CASECASE-2007-FalkmanLA #specification #using
Specification of Production Systems using PPN and Sequential Operation Charts (PF, BL, KA), pp. 20–25.
DACDAC-2007-BhatiaGTMM #equivalence #multi #performance #validation
Leveraging Semi-Formal and Sequential Equivalence Techniques for Multimedia SOC Performance Validation (LB, JG, PT, RSM, SHM), pp. 69–74.
DACDAC-2007-SeomunKS
Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits (JS, JK, YS), pp. 103–106.
DACDAC-2007-WangYBVVLC #performance
The Impact of NBTI on the Performance of Combinational and Sequential Circuits (WW, SY, SB, RV, SBKV, FL, YC), pp. 364–369.
DATEDATE-2007-ErdoganO #analysis #using
An ADC-BiST scheme using sequential code analysis (ESE, SO), pp. 713–718.
DATEDATE-2007-Miskov-ZivanovM #analysis #fault
Soft error rate analysis for sequential circuits (NMZ, DM), pp. 1436–1441.
DATEDATE-2007-MoonBP #approach #composition #equivalence
A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states (IHM, PB, CP), pp. 1170–1175.
DATEDATE-2007-MuellerGS #design #polynomial #programming #trade-off #using
Trade-off design of analog circuits using goal attainment and “Wave Front” sequential quadratic programming (DM, HEG, US), pp. 75–80.
ECIRECIR-2007-LiuYZQM #clustering #optimisation #performance #scalability
Fast Large-Scale Spectral Clustering by Sequential Shrinkage Optimization (TYL, HYY, XZ, TQ, WYM), pp. 319–330.
ICMLICML-2007-NiCD #learning #multi #process
Multi-task learning for sequential data via iHMMs and the nested Dirichlet process (KN, LC, DBD), pp. 689–696.
MLDMMLDM-2007-AburtoW #hybrid #predict
A Sequential Hybrid Forecasting System for Demand Prediction (LA, RW), pp. 518–532.
MLDMMLDM-2007-Olvera-LopezTC #float #strict
Restricted Sequential Floating Search Applied to Object Selection (JAOL, JFMT, JACO), pp. 694–702.
POPLPOPL-2007-StovringL #induction
A complete, co-inductive syntactic theory of sequential control and state (KS, SBL), pp. 161–172.
SACSAC-2007-MuSZ
Compact sequential aggregate signatures (YM, WS, HZ), pp. 249–253.
ICSEICSE-2007-ZaraketAK #analysis #relational
Sequential Circuits for Relational Analysis (FAZ, AA, SK), pp. 13–22.
DACDAC-2006-CongFHJZ #behaviour #communication
Behavior and communication co-optimization for systems with sequential communication media (JC, YF, GH, WJ, ZZ), pp. 675–678.
DACDAC-2006-GeorgelinK #design #equivalence #towards
Towards a C++-based design methodology facilitating sequential equivalence checking (PG, VK), pp. 93–96.
DACDAC-2006-GuthausSB #programming #using
Clock buffer and wire sizing using sequential programming (MRG, DS, RBB), pp. 1041–1046.
DACDAC-2006-WuH #bound #constraints #equivalence #mining
Mining global constraints for improving bounded sequential equivalence checking (WW, MSH), pp. 743–748.
DATEDATE-2006-SovianiTE #composition #optimisation
Optimizing sequential cycles through Shannon decomposition and retiming (CS, OT, SAE), pp. 1085–1090.
IFLIFL-2006-Almendros-JimenezV #automation
Automatic Partial Inversion of Inductively Sequential Functions (JMAJ, GV), pp. 253–270.
ICGTICGT-2006-HolscherKK #semantics
Autonomous Units and Their Semantics — The Sequential Case (KH, HJK, SK), pp. 245–259.
ICEISICEIS-AIDSS-2006-GollerHS #algorithm #data mining #mining
Beneficial Sequential Combination of Data Mining Algorithms (MG, MH, MS), pp. 135–143.
CIKMCIKM-2006-HuangTOC #mining #on the
On progressive sequential pattern mining (JWH, CYT, JCO, MSC), pp. 850–851.
CIKMCIKM-2006-KapoorPTT #database #distributed #mining #privacy
Privacy preserving sequential pattern mining in distributed databases (VK, PP, FT, MT), pp. 758–767.
ICMLICML-2006-RoureM
Sequential update of ADtrees (JR, AWM), pp. 769–776.
ICMLICML-2006-ShengL #algorithm #testing
Feature value acquisition in testing: a sequential batch test algorithm (VSS, CXL), pp. 809–816.
ICPRICPR-v1-2006-HanXG #clustering #segmentation #video
Video Foreground Segmentation Based on Sequential Feature Clustering (MH, WX, YG), pp. 492–496.
ICPRICPR-v2-2006-KimK06b #estimation #graph #modelling #monte carlo #multi #online
Multi-modal Sequential Monte Carlo for On-Line Hierarchical Graph Structure Estimation in Model-based Scene Interpretation (SK, ISK), pp. 251–254.
KDDKDD-2006-WangF
Anonymizing sequential releases (KW, BCMF), pp. 414–423.
CSLCSL-2006-ChadhaMS #probability #reasoning #source code
Reasoning About States of Probabilistic Sequential Programs (RC, PM, AS), pp. 240–255.
CSLCSL-2006-GiamberardinoF #parallel #proving
Jump from Parallel to Sequential Proofs: Multiplicatives (PDG, CF), pp. 319–333.
DATEDATE-2005-KumarTCJ #fault
Implicit and Exact Path Delay Fault Grading in Sequential Circuits (MMVK, ST, SC, RJ), pp. 990–995.
DATEDATE-2005-LuIPWCC #performance #satisfiability
An Efficient Sequential SAT Solver With Improved Search Strategies (FL, MKI, GP, LCW, KTC, KCC), pp. 1102–1107.
DRRDRR-2005-NamaneAGSMB #network #recognition
Sequential neural network combination for degraded machine-printed character recognition (AN, MA, AG, EHS, PM, MB), pp. 101–110.
ICDARICDAR-2005-MonnierHSA #documentation
Sequential Correction of Perspective Warp in Camera-based Documents (CM, SH, MS, VA), pp. 394–398.
TACASTACAS-2005-KellerSBS #c #debugging #model checking #named #source code
FocusCheck: A Tool for Model Checking and Debugging Sequential C Programs (CWK, DS, SB, SAS), pp. 563–569.
DLTDLT-J-2004-Freund05 #array #string
P Systems working in the sequential mode on arrays and strings (RF), pp. 663–682.
ICFPICFP-2005-RamosSV #partial evaluation #performance #source code
Fast narrowing-driven partial evaluation for inductively sequential programs (JGR, JS, GV), pp. 228–239.
ICMLICML-2005-PalettaFS #recognition #visual notation
Q-learning of sequential attention for visual object recognition from informative local descriptors (LP, GF, CS), pp. 649–656.
KDDKDD-2005-CongHP #mining #parallel
Parallel mining of closed sequential patterns (SC, JH, DAP), pp. 562–567.
KDDKDD-2005-PhanNHH #learning
Improving discriminative sequential learning with rare--but--important associations (XHP, MLN, TBH, SH), pp. 304–313.
KDDKDD-2005-Scholz #mining
Sampling-based sequential subgroup mining (MS), pp. 265–274.
MLDMMLDM-2005-ShimizuM #anti #sequence
Disjunctive Sequential Patterns on Single Data Sequence and Its Anti-monotonicity (KS, TM), pp. 376–383.
SEKESEKE-2005-LoYT #algorithm #mining #predict
Weighted Binary Sequential Mining Algorithm with Application to the Next-Day Appearance Prediction (SL, JY, FCT), pp. 783–782.
OOPSLAOOPSLA-2005-GregorL #algorithm #graph #parallel
Lifting sequential graph algorithms for distributed-memory parallel computation (DG, AL), pp. 423–437.
POPLPOPL-2005-NeubauerT #multi #program transformation #source code
From sequential programs to multi-tier applications by program transformation (MN, PT), pp. 221–232.
SACSAC-2005-Emir #compilation
Compiling regular patterns to sequential machines (BE), pp. 1385–1389.
PPoPPPPoPP-2005-SuraFWMLP #compilation #consistency #java #performance #source code
Compiler techniques for high performance sequentially consistent java programs (ZS, XF, CLW, SPM, JL, DAP), pp. 2–13.
LICSLICS-2005-EscardoK #programming language
Operational Domain Theory and Topology of a Sequential Programming Language (MHE, WKH), pp. 427–436.
DACDAC-2004-ZhangHC #analysis #pipes and filters #statistics
Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining (LZ, YH, CCPC), pp. 904–907.
DATEDATE-v1-2004-RaudvereSSJ #abstraction #polynomial #verification
Polynomial Abstraction for Verification of Sequentially Implemented Combinational Circuits (TR, AKS, IS, AJ), pp. 690–691.
DATEDATE-v1-2004-ZhanS #optimisation #polynomial #programming #using
Optimization of Integrated Spiral Inductors Using Sequential Quadratic Programming (YZ, SSS), pp. 622–629.
DATEDATE-v2-2004-RahimiBD #adaptation #optimisation
Timing Correction and Optimization with Adaptive Delay Sequential Element (KR, SB, CD), p. 1416.
ICSMEICSM-2004-Krinke04a #concurrent #slicing #source code
Advanced Slicing of Sequential and Concurrent Programs (JK), pp. 464–468.
PLDIPLDI-2004-DuLLYZN #compilation #framework #parallel #source code
A cost-driven compilation framework for speculative parallelization of sequential programs (ZHD, CCL, XFL, CY, QZ, TFN), pp. 71–81.
PLDIPLDI-2004-QadeerW #named
KISS: keep it simple and sequential (SQ, DW), pp. 14–24.
CIAACIAA-2004-Maletti #theorem #transducer
Myhill-Nerode Theorem for Sequential Transducers over Unique GCD-Monoids (AM), pp. 323–324.
DLTDLT-2004-Freund #array #string
P Systems Working in the Sequential Mode on Arrays and Strings (RF), pp. 188–199.
ICEISICEIS-v1-2004-ChenY #database #fuzzy #multi #transaction
Fuzzy Multiple-Level Sequential Patterns Discovery from Customer Transaction Databases (AC, HY), pp. 434–440.
ICEISICEIS-v2-2004-HamzaY #constraints #mining #regular expression #using
Mining Sequential Patterns with Regular Expression Constraints Using Sequential Pattern Tree (MH, KM, MY), pp. 116–121.
CIKMCIKM-2004-WangXY #biology #mining #scalability #sequence
Scalable sequential pattern mining for biological sequences (KW, YX, JXY), pp. 178–187.
ICMLICML-2004-FernG #relational #reliability
Relational sequential inference with reliable observations (AF, RG).
ICMLICML-2004-PeltonenSK #finite
Sequential information bottleneck for finite data (JP, JS, SK).
ICMLICML-2004-RayP #algorithm
Sequential skewing: an improved skewing algorithm (SR, DP).
ICPRICPR-v2-2004-NiR #pattern matching
Pattern Matching by Sequential Subdivision of Transformation Space (MN, SER), pp. 145–148.
ICPRICPR-v3-2004-FanG #learning
Hierarchical Object Indexing and Sequential Learning (XF, DG), pp. 65–68.
KDDKDD-2004-ChengYH #database #incremental #mining #named #scalability
IncSpan: incremental mining of sequential patterns in large database (HC, XY, JH), pp. 527–532.
ECOOPECOOP-2004-CaromelMT #monitoring
Sequential Object Monitors (DC, LM, ÉT), pp. 316–340.
CAVCAV-2004-BinghamCHQZ #automation #bound #consistency #verification
Automatic Verification of Sequential Consistency for Unbounded Addresses and Data Values (JDB, AC, AJH, SQ, ZZ), pp. 427–439.
LICSLICS-2004-Marcial-RomeroE #semantics
Semantics of a Sequential Language for Exact Real-Number Computation (JRMR, MHE), pp. 426–435.
DACDAC-2003-YehM
Delay budgeting in sequential circuit with application on FPGA placement (CYY, MMS), pp. 202–207.
DATEDATE-2003-FreitasO #equation #estimation
Implicit Resolution of the Chapman-Kolmogorov Equations for Sequential Circuits: An Application in Power Estimation (ATF, ALO), pp. 10764–10769.
DATEDATE-2003-IchiharaI #fault #generative #testing
Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG (HI, TI), pp. 11180–11181.
DATEDATE-2003-SyalH #algorithm #fault #identification #low cost #novel
A Novel, Low-Cost Algorithm for Sequentially Untestable Fault Identification (MS, MSH), pp. 10316–10321.
ICDARICDAR-2003-HaoLS03a #algorithm #classification #comparison #search-based #set
Comparison of Genetic Algorithm and Sequential Search Methods for Classifier Subset Selection (HH, CLL, HS), pp. 765–769.
FoSSaCSFoSSaCS-2003-BournezCNM #parallel #polynomial
Computability over an Arbitrary Structure. Sequential and Parallel Polynomial Time (OB, FC, PJdN, JYM), pp. 185–199.
DLTDLT-2003-KlimannLMP #ambiguity #automaton
Deciding the Sequentiality of a Finitely Ambiguous Max-Plus Automaton (IK, SL, JM, CP), pp. 373–385.
FMFME-2003-Abrial #development #pointer
Event Based Sequential Program Development: Application to Constructing a Pointer Program (JRA), pp. 51–74.
CIKMCIKM-2003-HaradaHAKOT
Event analyzer: a tool for sequential data processing (LH, YH, NA, KK, TO, RT), pp. 172–174.
ICMLICML-2003-WuS #optimisation
New í-Support Vector Machines and their Sequential Minimal Optimization (XW, RKS), pp. 824–831.
MLDMMLDM-2003-AntunesO #constraints #mining
Generalization of Pattern-Growth Methods for Sequential Pattern Mining with Gap Constraints (CA, ALO), pp. 239–251.
MLDMMLDM-2003-LeleuRBE #dataset #mining #named
GO-SPADE: Mining Sequential Patterns over Datasets with Consecutive Repetitions (ML, CR, JFB, GE), pp. 293–306.
SEKESEKE-2003-BaumgartenBH
Tree-Growth based Sequential and Associative Pattern Discovery (MB, AGB, JGH), pp. 240–244.
CSLCSL-2003-Laird #named
Bistability: An Extensional Characterization of Sequentiality (JL), pp. 372–383.
LICSLICS-2003-PitermanV #decidability #future of #stack
Micro-Macro Stack Systems: A New Frontier of Elementary Decidability for Sequential Systems (NP, MYV), p. 381–?.
DACDAC-2002-AbramoviciYR #low cost
Low-cost sequential ATPG with clock-control DFT (MA, XY, EMR), pp. 243–248.
DACDAC-2002-Higuchi #detection #multi #scalability
An implication-based method to detect multi-cycle paths in large sequential circuits (HH), pp. 164–169.
DACDAC-2002-ShengTH #effectiveness #safety #using
Effective safety property checking using simulation-based sequential ATPG (SS, KT, MSH), pp. 813–818.
SIGMODSIGMOD-2002-YangWYH #mining
Mining long sequential patterns in a noisy environment (JY, WW, PSY, JH), pp. 406–417.
WCREWCRE-2002-JrW #algorithm #image #parallel
Exposing Data-Level Parallelism in Sequential Image Processing Algorithms (LBBJ, LMW), pp. 245–254.
CIKMCIKM-2002-PeiHW #constraints #database #mining #scalability
Mining sequential patterns with constraints in large databases (JP, JH, WW), pp. 18–25.
ICPRICPR-v2-2002-LiZ #algorithm #visual notation
Visual Contour Tracking Based on Sequential Importance Sampling/Resampling Algorithm (PL, TZ), pp. 564–568.
ICPRICPR-v2-2002-XiaoAX #optimisation #set
Pair-Wise Sequential Reduced Set for Optimization of Support Vector Machines (XX, HA, GX), pp. 860–863.
KDDKDD-2002-AyresFGY #mining #representation #using
Sequential PAttern mining using a bitmap representation (JA, JF, JG, TY), pp. 429–435.
KDDKDD-2002-PednaultAZ #learning
Sequential cost-sensitive decision making with reinforcement learning (EPDP, NA, BZ), pp. 259–268.
SIGIRSIGIR-2002-SlonimFT #classification #documentation #using
Unsupervised document classification using sequential information maximization (NS, NF, NT), pp. 129–136.
ICLPICLP-2002-Bruscoli #logic #proving
A Purely Logical Account of Sequentiality in Proof Search (PB), pp. 302–316.
LICSLICS-2002-HylandS #game studies #graph
Games on Graphs and Sequentially Realizable Functionals (MH, AS), pp. 257–264.
DATEDATE-2001-FerrandiFSFF #behaviour #functional #generative #modelling #testing
Functional test generation for behaviorally sequential models (FF, GF, DS, AF, FF), pp. 403–410.
DATEDATE-2001-GianiSHA #performance
Efficient spectral techniques for sequential ATPG (AG, SS, MSH, VDA), pp. 204–208.
DATEDATE-2001-ZolfyMN #adaptation #concurrent #fault #simulation
Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation (MZ, SM, ZN), p. 823.
VLDBVLDB-2001-SadriZZA #data mining #mining #query
A Sequential Pattern Query Language for Supporting Instant Data Mining for e-Services (RS, CZ, AMZ, JA), pp. 653–656.
ICSMEICSM-2001-EveraarsAK #concurrent #coordination #source code #using
Using Coordination to Restructure Sequential Source Code into a Concurrent Program (CTHE, FA, BK), p. 342–?.
CIAACIAA-2001-Gaal #finite #question #transducer
Is this Finite-State Transducer Sequentiable? (TG), pp. 125–134.
DLTDLT-2001-SosikF #string
String Rewriting Sequential P-Systems and Regulated Rewriting (PS, RF), pp. 379–388.
ICALPICALP-2001-Madhusudan #behaviour #branch #graph #reasoning #sequence
Reasoning about Sequential and Branching Behaviours of Message Sequence Graphs (PM), pp. 809–820.
CIKMCIKM-2001-PintoHPWCD #mining #multi
Multi-Dimensional Sequential Pattern Mining (HP, JH, JP, KW, QC, UD), pp. 81–88.
SACSAC-2001-CornoRS #architecture #effectiveness #evolution
Evolving effective CA/CSTP: BIST architectures for sequential circuits (FC, MSR, GS), pp. 345–350.
PPoPPPPoPP-2001-LuCZ #distributed #memory management #replication #source code
Contention elimination by replication of sequential sections in distributed shared memory programs (HL, ALC, WZ), pp. 53–61.
CAVCAV-2001-Arons #consistency #using #verification
Using Timestamping and History Variables to Verify Sequential Consistency (TA), pp. 423–435.
TLCATLCA-2001-BergerHY #π-calculus
Sequentiality and the π-Calculus (MB, KH, NY), pp. 29–45.
DACDAC-2000-CabodiQS #optimisation #verification
Optimizing sequential verification by retiming transformations (GC, SQ, FS), pp. 601–606.
DACDAC-2000-Edwards #compilation
Compiling Esterel into sequential code (SAE), pp. 322–327.
DATEDATE-2000-NicoliciA #clustering #multi #power management
Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits (NN, BMAH), pp. 715–722.
DATEDATE-2000-PomeranzR #generative #sequence #testing
Built-In Generation of Weighted Test Sequences for Synchronous Sequential Circuits (IP, SMR), pp. 298–304.
FoSSaCSFoSSaCS-2000-Pinto #automaton #concurrent #interactive
Sequential and Concurrent Abstract Machines for Interaction Nets (JSP), pp. 267–282.
CIAACIAA-2000-Gaal #transducer
Extended Sequentialization of Transducers (TG), pp. 333–334.
CIAACIAA-2000-Kempe00a #transducer
Part-of-Speech Tagging with Two Sequential Transducers (AK), pp. 337–339.
ICPRICPR-v2-2000-BurrellP #algorithm #detection #learning #online #parametricity #probability #process
Sequential Algorithms for Detecting Changes in Acting Stochastic Processes and On-Line Learning of their Operational Parameters (AB, TPK), pp. 2656–2659.
ICPRICPR-v2-2000-SuzukiHS #component #performance
Fast Connected-Component Labeling Based on Sequential Local Operations in the Course of Forward Raster Scan Followed by Backward Raster Scan (KS, IH, NS), pp. 2434–2437.
ICPRICPR-v3-2000-NodaK #adaptation #identification #probability #using
Adaptive Speaker Identification Using Sequential Probability Ratio Test (HN, EK), pp. 3266–3269.
ICPRICPR-v3-2000-ZhangB
Grouping Edge Points into Line Segments by Sequential Hough Transformation (XZ, HB), pp. 3676–3679.
ICPRICPR-v4-2000-SeinstraK #api #image #parallel
Transparent Parallel Image Processing by way of a Familiar Sequential API (FJS, DK), pp. 4824–4827.
KDDKDD-2000-HanPMCDH #mining #named
FreeSpan: frequent pattern-projected sequential pattern mining (JH, JP, BMA, QC, UD, MH), pp. 355–359.
KDDKDD-2000-MannilaM #partial order
Global partial orders from sequential data (HM, CM), pp. 161–168.
KDDKDD-2000-SchefferW #algorithm
A sequential sampling algorithm for a general class of utility criteria (TS, SW), pp. 330–334.
PADLPADL-2000-MarinoM #functional #logic programming #source code #static analysis #using
Using Static Analysis to Compile Non-sequential Functional Logic Programs (JM, JJMN), pp. 63–80.
HPDCHPDC-2000-TerekhovW #data access #distributed #empirical
Distributed Data Access in the Sequential Access Model in the D0 Experiment at Fermilab (IT, VW), pp. 310–311.
OSDIOSDI-2000-KimCKNMCK
A Low-Overhead, High-Performance Unified Buffer Management Scheme That Exploits Sequential and Looping References (JMK, JC, JK, SHN, SLM, YC, CSK), pp. 119–134.
CSLCSL-2000-BorgerS #composition #concept
Composition and Submachine Concepts for Sequential ASMs (EB, JS), pp. 41–60.
LICSLICS-2000-BussK #bound
Resource-Bounded Continuity and Sequentiality for Type-Two Functionals (SRB, BMK), pp. 77–83.
DACDAC-1999-GuoRP #generative #named #using
Proptest: A Property Based Test Pattern Generator for Sequential Circuits Using Test Compaction (RG, SMR, IP), pp. 653–659.
DACDAC-1999-Oliveira #design #robust
Robust Techniques for Watermarking Sequential Circuit Designs (ALO), pp. 837–842.
DACDAC-1999-PomeranzR #generative #sequence #testing
Built-In Test Sequence Generation for Synchronous Sequential Circuits Based on Loading and Expansion of Test Subsequences (IP, SMR), pp. 754–759.
DATEDATE-1999-CabodiCPQ #simulation
Computing Timed Transition Relations for Sequential Cycle-Based Simulation (GC, PC, CP, SQ), pp. 8–12.
DATEDATE-1999-CornoRS #algorithm #approximate #equivalence #search-based #verification
Approximate Equivalence Verification of Sequential Circuits via Genetic Algorithms (FC, MSR, GS), pp. 754–755.
DATEDATE-1999-EcklL #multi
Retiming Sequential Circuits with Multiple Register Classes (KE, CL), p. 650–?.
DATEDATE-1999-KonijnenburgLG #generative #identification #testing
Illegal State Space Identification for Sequential Circuit Test Generation (MHK, JTvdL, AJvdG), pp. 741–746.
DATEDATE-1999-MillanEECC #logic #optimisation
Integrating Symbolic Techniques in ATPG-Based Sequential Logic Optimization (ESM, LE, JAE, SC, FC), pp. 516–520.
DATEDATE-1999-RaikU #diagrams #generative #modelling #testing #using
Sequential Circuit Test Generation Using Decision Diagram Models (JR, RU), pp. 736–740.
DATEDATE-1999-RanjanSSB #using #verification
Using Combinational Verification for Sequential Circuits (RKR, VS, FS, RKB), pp. 138–144.
VLDBVLDB-1999-GarofalakisRS #constraints #mining #named #regular expression
SPIRIT: Sequential Pattern Mining with Regular Expression Constraints (MNG, RR, KS), pp. 223–234.
ESOPESOP-1999-Poetzsch-HeffterM #java #logic #programming
A Programming Logic for Sequential Java (APH, PM), pp. 162–176.
ESOPESOP-1999-SabelfeldS #data flow #source code
A Per Model of Secure Information Flow in Sequential Programs (AS, DS), pp. 40–58.
FoSSaCSFoSSaCS-1999-HuhnNW #communication #logic #model checking
Model Checking Logics for Communicating Sequential Agents (MH, PN, FW), pp. 227–242.
CIAAWIA-1999-SeuringG #automaton
A Structural Method for Output Compaction of Sequential Automata Implemented as Circuits (MS, MG), pp. 158–163.
ICFPICFP-1999-AlpuenteHLV #functional #logic programming #source code
Specialization of Inductively Sequential Functional Logic Programs (MA, MH, SL, GV), pp. 273–283.
TOOLSTOOLS-ASIA-1999-LiZL #communication #csp #process #type system
The Typing of Communicating Sequential Processes (WL, XZ, SL), pp. 61–66.
CAVCAV-1999-BoppanaRTF #model checking
Model Checking Based on Sequential ATPG (VB, SPR, KT, MF), pp. 418–430.
CAVCAV-1999-HenzingerQR99a #consistency #multi #verification
Verifying Sequential Consistency on Shared-Memory Multiprocessor Systems (TAH, SQ, SKR), pp. 301–315.
ICLPICLP-1999-MarinoM #functional #logic programming #source code #static analysis #using
Using Static Analysis to Compile Non-sequential Functional Logic Programs (JM, JJMN), p. 614.
DACDAC-1998-BeniniMLMOP #kernel #optimisation
Computational Kernels and their Application to Sequential Power Optimization (LB, GDM, AL, EM, GO, MP), pp. 764–769.
DACDAC-1998-El-MalehKR #learning #performance
A Fast Sequential Learning Technique for Real Circuits with Application to Enhancing ATPG Performance (AHEM, MK, JR), pp. 625–631.
DACDAC-1998-HuangCCL #design #fault
Fault-Simulation Based Design Error Diagnosis for Sequential Circuits (SYH, KTC, KCC, JYJL), pp. 632–637.
DATEDATE-1998-Eijk #equivalence #traversal
Sequential Equivalence Checking without State Space Traversal (CAJvE), pp. 618–623.
DATEDATE-1998-GuoPR #sequence #testing
Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits Based on Vector Restoration (RG, IP, SMR), pp. 583–587.
DATEDATE-1998-Hetzel #graph #grid
A Sequential Detailed Router for Huge Grid Graphs (AH), pp. 332–338.
DATEDATE-1998-HsiaoC #performance #sequence
State Relaxation Based Subsequence Removal for Fast Static Compaction in Sequential Circuits (MSH, STC), pp. 577–582.
DATEDATE-1998-PomeranzR98a #using
Design-for-Testability for Synchronous Sequential Circuits using Locally Available Lines (IP, SMR), pp. 983–984.
DATEDATE-1998-RudnickVECPR #generative #performance #testing #using
Fast Sequential Circuit Test Generation Using High-Level and Gate-Level Techniques (EMR, RV, AE, FC, PP, MSR), pp. 570–576.
DATEDATE-1998-WangV #data-driven #optimisation
Data Driven Power Optimization of Sequential Circuits (QW, SBKV), pp. 686–691.
ICALPICALP-1998-DamgardP #interactive #performance
Sequential Iteration of Interactive Arguments and an Efficient Zero-Knowledge Argument for NP (ID, BP), pp. 772–783.
CIAAWIA-1998-LHerPM #automaton #proving #source code #using
Proving Sequential Function Chart Programs Using Automata (DL, PLP, LM), pp. 149–163.
KDDKDD-1998-ThomasS #mining #query #sql #using
Mining Generalized Association Rules and Sequential Patterns Using SQL Queries (ST, SS), pp. 344–348.
KRKR-1998-Reiter
Sequential, Temporal GOLOG (RR), pp. 547–556.
PPDPALP-PLILP-1998-BreitingerKL #haskell #implementation #parallel #perspective
From (Sequential) Haskell to (Parallel) Eden: An Implementation Point of View (SB, UK, RL), pp. 318–334.
DACDAC-1997-CongW #pipes and filters #synthesis
FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits (JC, CW), pp. 644–649.
DACDAC-1997-YuanTK #estimation #statistics
Statistical Estimation of Average Power Dissipation in Sequential Circuits (LPY, CCT, SMK), pp. 377–382.
DATEEDTC-1997-CornoPRR #sequence #testing
New static compaction techniques of test sequences for sequential circuits (FC, PP, MR, MSR), pp. 37–43.
DATEEDTC-1997-DargelasGB #multi #named
MOSAIC: a multiple-strategy oriented sequential ATPG for integrated circuits (AD, CG, YB), pp. 29–36.
DATEEDTC-1997-HsiaoRP #generative #testing #traversal #using
Sequential circuit test generation using dynamic state traversal (MSH, EMR, JHP), pp. 22–28.
DATEEDTC-1997-SaxenaNH #approach #estimation #monte carlo
Monte-Carlo approach for power estimation in sequential circuits (VS, FNN, INH), pp. 416–420.
DATEEDTC-1997-UrsuGZ #automaton #design #logic #specification #using #verification
Design and verification of the sequential systems automata using temporal logic specifications (AU, GG, SZ), p. 623.
ICALPICALP-1997-BurkartS #calculus #infinity #model checking #process #μ-calculus
Model Checking the Full Modal μ-Calculus for Infinite Sequential Processes (OB, BS), pp. 419–429.
CIKMCIKM-1997-LinR #navigation #using
Using a Sequential Index in Terrain-Aided Navigation (LL, TR), pp. 177–184.
PPDPPLILP-1997-GiavittoVM #compilation #recursion #semantics
Semantics and Compilation of Recursive Sequential Streams in 8½ (JLG, DDV, OM), pp. 207–223.
ESECESEC-FSE-1997-DesharnaisFKM #integration
Integration of Sequential Scenarios (JD, MF, RK, AM), pp. 310–326.
LICSLICS-1997-RieckeS #call-by #relational
A Relational Account of Call-by-Value Sequentiality (JGR, AS), pp. 258–267.
DACDAC-1996-ChenPL #reduction
Desensitization for Power Reduction in Sequential Circuits (XC, PP, CLL), pp. 795–800.
DACDAC-1996-FerrandiFMPS #automaton #network #optimisation
Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques (FF, FF, EM, MP, DS), pp. 467–470.
DACDAC-1996-IyerLA #identification
Identifying Sequential Redundancies Without Search (MAI, DEL, MA), pp. 457–462.
DACDAC-1996-MarculescuMP #generative #probability #sequence #synthesis
Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation (DM, RM, MP), pp. 696–701.
DACDAC-1996-PanL
Optimal Clock Period FPGA Technology Mapping for Sequential Circuits (PP, CLL), pp. 720–725.
DACDAC-1996-PomeranzR #on the #sequence #testing
On Static Compaction of Test Sequences for Synchronous Sequential Circuits (IP, SMR), pp. 215–220.
TACASTACAS-1996-Margaria #automation #detection #fault
Fully Automatic Verifcation and Error Detection for Parameterized Iterative Sequential Circuits (TMS), pp. 258–277.
ICSMEICSM-1996-EveraarsAB #distributed #fortran #parallel
Restructuring sequential Fortran code into a parallel/distributed application (CTHE, FA, FJB), pp. 13–22.
ICALPICALP-1996-Pin #automaton #calculus #first-order #power of
The Expressive Power of Existential First Order Sentences of Büchi’s Sequential Calculus (JÉP), pp. 300–311.
CIAAWIA-1996-RavikumarX #implementation #parallel #problem #sequence #source code
Implementing Sequential and Parallel Programs for the Homing Sequence Problem (BR, XX), pp. 120–131.
ICPRICPR-1996-SeoH #re-engineering
Sequential reconstruction of lines in projective space (YS, KSH), pp. 503–507.
SACSAC-1996-RajePG #analysis #named #object-oriented #source code
OFFERS — a tool for hierarchical implicit analysis of sequential object-oriented programs (RRR, DP, ETG), pp. 437–441.
HPCAHPCA-1996-CalderGE #predict
Predictive Sequential Associative Cache (BC, DG, JSE), pp. 244–253.
RTARTA-1996-SakaiT #semantics #term rewriting
Semantics and Strong Sequentiality of Priority Term Rewriting Systems (MS, YT), pp. 377–391.
DACDAC-1995-JainBJ #abstraction #automation
Automatic Clock Abstraction from Sequential Circuits (SJ, REB, AJ), pp. 707–711.
DACDAC-1995-KriegerBK #fault #multi #simulation
Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy (RK, BB, MK), pp. 339–344.
DACDAC-1995-MannePBHSMP
Computing the Maximum Power Cycles of a Sequential Circuit (SM, AP, RIB, GDH, FS, EM, MP), pp. 23–28.
DACDAC-1995-NajmGH #estimation
Power Estimation in Sequential Circuits (FNN, SG, INH), pp. 635–640.
DACDAC-1995-RudnickP #generative #search-based #testing
Combining Deterministic and Genetic Approaches for Sequential Circuit Test Generation (EMR, JHP), pp. 183–188.
DACDAC-1995-SinghalPRB
The Validity of Retiming Sequential Circuits (VS, CP, RLR, RKB), pp. 316–321.
DACDAC-1995-VenkataramanHFRCP #agile #fault #simulation #using
Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists (SV, IH, WKF, EMR, SC, JHP), pp. 133–138.
SASSAS-1995-Colby #concurrent #source code
Determining Storage Properties of Sequential and Concurrent Programs with Assignment and Structured Data (CC), pp. 64–81.
STOCSTOC-1995-Pan #algorithm #approximate #parallel #polynomial
Optimal (up to polylog factors) sequential and parallel algorithms for approximating complex polynomial zeros (VYP), pp. 741–750.
FPCAFPCA-1995-BlellochG #functional #parallel
Parallelism in Sequential Functional Languages (GEB, JG), pp. 226–237.
CIKMCIKM-1995-SoparkarKJA #database #parallel #runtime #source code
Run-Time Parallelization of Sequential Database Programs (NS, PK, HVJ, AA), pp. 74–81.
PPDPPLILP-1995-Moor #process
A Generic Program for Sequential Decision Processes (OdM), pp. 1–23.
POPLPOPL-1995-BouajjaniEH #composition #infinity #parallel #process #verification
Verifying Infinite State Processes with Sequential and Parallel Composition (AB, RE, PH), pp. 95–106.
POPLPOPL-1995-BrookesD #algorithm #parallel
Sequential Algorithms, Deterministic Parallelism, and Intensional Expressiveness (SDB, DD), pp. 13–24.
POPLPOPL-1995-PlevyakZC #concurrent #object-oriented #performance
Obtaining Sequential Efficiency for Concurrent Object-Oriented Languages (JP, XZ, AAC), pp. 311–321.
POPLPOPL-1995-RieckeV
Isolating Side Effects in Sequential Languages (JGR, RV), pp. 1–12.
POPLPOPL-1995-SchauserCG #algorithm #clustering #constraints #source code #strict #thread
Separation Constraint Partitioning — A New Algorithm for Partitioning Non-strict Programs into Sequential Threads (KES, DEC, SCG), pp. 259–271.
HPCAHPCA-1995-DahlgrenS #effectiveness #multi
Effectiveness of Hardware-Based Stride and Sequential Prefetching in Shared-Memory Multiprocessors (FD, PS), pp. 68–77.
LICSLICS-1995-Comon #automaton #higher-order #logic #monad
Sequentiality, Second Order Monadic Logic and Tree Automata (HC), pp. 508–517.
DACDAC-1994-KrishnamoorthyM
Boolean Matching of Sequential Elements (SK, FM), pp. 691–697.
DACDAC-1994-MonteiroDL #estimation #logic #performance #process
A Methodology for Efficient Estimation of Switching Activity in Sequential Logic Circuits (JCM, SD, BL), pp. 12–17.
DACDAC-1994-ParkesBP #approach #generative #named #object-oriented #parallel #testing
ProperHITEC: A Portable, Parallel, Object-Oriented Approach to Sequential Test Generation (SP, PB, JHP), pp. 717–721.
DACDAC-1994-PomeranzR94a #fault #on the
On Improving Fault Diagnosis for Synchronous Sequential Circuits (IP, SMR), pp. 504–509.
DACDAC-1994-RudnickPGN #algorithm #framework #generative #search-based #testing
Sequential Circuit Test Generation in a Genetic Algorithm Framework (EMR, JHP, GSG, TMN), pp. 698–704.
DATEEDAC-1994-Damiani #finite #nondeterminism #state machine
Nondeterministic finite-state machines and sequential don’t cares (MD), pp. 192–198.
DATEEDAC-1994-FummiSS #approach #fault #functional #generative #testing
A Functional Approach to Delay Faults Test Generation for Sequential Circuits (FF, DS, MS), pp. 51–57.
DATEEDAC-1994-GaiMR #fault #named #performance
TORSIM: An Efficient Fault Simulator for Synchronous Sequential Circuits (SG, PLM, MSR), pp. 46–50.
DATEEDAC-1994-IsernF #fault
Test of Bridging Faults in Scan-based Sequential Circuits (EI, JF), pp. 366–370.
DATEEDAC-1994-Rodriguez-MontanesF #analysis #fault #testing
Analysis of Bridging Defects in Sequential CMOS Circuits and their Current Testability (RRM, JF), pp. 356–360.
DATEEDAC-1994-RudnickHSP #algorithm #generative #search-based #testing
Application of Simple Genetic Algorithms to Sequential Circuit Test Generation (EMR, JGH, DGS, JHP), pp. 40–45.
DATEEDAC-1994-Sachdev #logic #testing
Transforming Sequential Logic in Digital CMOS ICs for Voltage and IDDQ Testing (MS), pp. 361–365.
DATEEDAC-1994-SchneiderKK #verification
Control Path Oriented Verification of Sequential Generic Circuits with Control and Data Path (KS, TK, RK), pp. 648–652.
DATEEDAC-1994-Stroele #analysis
Signature Analysis for Sequential Circuits with Reset (APS), pp. 113–118.
DATEEDAC-1994-Wang #synthesis #testing
Synthesis of Sequential Machines with Reduced Testing Cost (SJW), pp. 302–306.
DATEEDAC-1994-WuLCL #clustering #distributed #fault #simulation
Distributed Fault Simulation for Sequential Circuits by Pattern Partitioning (WCW, CLL, JEC, WYL), p. 661.
SIGIRSIGIR-1994-LewisG #algorithm #classification
A Sequential Algorithm for Training Text Classifiers (DDL, WAG), pp. 3–12.
PPDPALP-1994-AntoyM #reduction
A Sequential Reduction Strategy (SA, AM), pp. 168–185.
ICLPICLP-1994-Guglielmi #concurrent #generative #logic programming #programming language
Concurrency and Plan Generation in a Logic Programming Language with a Sequential Operator (AG), pp. 240–254.
LICSLICS-1994-ColsonE #higher-order #on the
On Strong Stability and Higher-Order Sequentiality (LC, TE), pp. 103–108.
DACDAC-1993-AgrawalAV #distributed #generative #testing
Sequential Circuit Test Generation on a Distributed System (PA, VDA, JV), pp. 107–111.
DACDAC-1993-ChakrabortyAB #design #fault #testing
Design for Testability for Path Delay faults in Sequential Circuits (TJC, VDA, MLB), pp. 453–457.
DACDAC-1993-ChakradharDPR #optimisation #using
Sequential Circuit Delay optimization Using Global Path Delays (STC, SD, MP, SGR), pp. 483–489.
DACDAC-1993-ChickermaneRBP
Non-Scan Design-for-Testability Techniques for Sequential Circuits (VC, EMR, PB, JHP), pp. 236–241.
DACDAC-1993-MeyerC #fault #multi #performance #simulation
Fast Hierarchical Multi-Level Fault Simulation of Sequential Circuits with Switch-Level Accuracy (WM, RC), pp. 515–519.
DACDAC-1993-MurgaiBS #array #programmable #synthesis
Sequential Synthesis for Table Look Up Programmable Gate Arrays (RM, RKB, ALSV), pp. 224–229.
DACDAC-1993-SeawrightB #performance #synthesis
High-Level Symbolic Construction Technique for High Performance Sequential Synthesis (AS, FB), pp. 424–428.
SASWSA-1993-FergusonH #abstract interpretation #algorithm #performance #using
Fast Abstract Interpretation Using Sequential Algorithms (AF, JH), pp. 45–59.
FPCAFPCA-1993-Ang #data flow #implementation #performance
Efficient Implementation of Sequential Loops in Dataflow Computation (BSA), pp. 169–178.
HPDCHPDC-1993-AgrawalAV #generative #network
Test Pattern Generation for Sequential Circuits on a Network of Workstations (PA, VDA, JV), pp. 114–120.
CAVCAV-1993-RhoS #automation #generative #invariant #network #verification
Automatic Generation of Network Invariants for the Verification of Iterative Sequential Systems (JKR, FS), pp. 123–137.
DACDAC-1992-AbramoviciRM #approach #exclamation #testing
Freeze!: A New Approach for Testing Sequential Circuits (MA, KBR, DTM), pp. 22–25.
DACDAC-1992-ChakrabortyAB #fault #generative #logic #modelling #random #testing
Delay Fault Models and Test Generation for Random Logic Sequential Circuits (TJC, VDA, MLB), pp. 165–172.
DACDAC-1992-ChengM #algorithm #on the #problem
On the Over-Specification Problem in Sequential ATPG Algorithms (KTC, HKTM), pp. 16–21.
DACDAC-1992-GhoshDKW #estimation #process
Estimation of Average Switching Activity in Combinational and Sequential Circuits (AG, SD, KK, JW), pp. 253–259.
DACDAC-1992-LeeH #fault #named #parallel #performance
HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits (HKL, DSH), pp. 336–340.
DACDAC-1992-LeeR92a #concurrent #fault #on the #performance #simulation
On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits (DHL, SMR), pp. 327–331.
DACDAC-1992-PomeranzR #testing
At-Speed Delay Testing of Synchronous Sequential Circuits (IP, SMR), pp. 177–181.
DACDAC-1992-ShenoySBS #equivalence #on the
On the Temporal Equivalence of Sequential Circuits (NVS, KJS, RKB, ALSV), pp. 405–409.
PODSPODS-1992-Rotem #analysis #scalability
Analysis of Disk Arm Movement for Large Sequential Reads (DR), pp. 47–54.
SIGMODSIGMOD-1992-HaasS #estimation #query
Sequential Sampling Procedures for Query Size Estimation (PJH, ANS), pp. 341–350.
LISPLFP-1992-TraubCS #analysis #clustering #source code #strict #thread
Global Analysis for Partitioning Non-Strict Programs into Sequential Threads (KRT, DEC, KES), pp. 324–334.
CHICHI-1992-Brown #algorithm #parallel #sorting #visualisation
An Introduction to Zeus: Audiovisualization of Some Elementary Sequential and Parallel Sorting Algorithms (MHB), pp. 663–664.
TOOLSTOOLS-USA-1992-KaraormanB #concurrent #eiffel
A concurrency Mechanism for Sequential Eiffel (MK, JLB), pp. 63–77.
POPLPOPL-1992-CartwrightF #abstraction
Observable Sequentiality and Full Abstraction (RC, MF), pp. 328–342.
ISMMIWMM-1992-BekkersRU #logic programming #memory management #programming language
Dynamic Memory Management for Sequential Logic Programming Languages (YB, OR, LU), pp. 82–102.
CADECADE-1992-Kesner #order #orthogonal #term rewriting
Free Sequentially in Orthogonal Order-Sorted Rewriting Systems with Constructors (DK), pp. 603–617.
ICLPJICSLP-1992-GudemanBD #implementation #named #performance
jc: An Efficient and Portable Sequential Implementation of Janus (DG, KDB, SKD), pp. 399–413.
LICSLICS-1992-Toyama #linear #term rewriting
Strong Sequentiality of Left-Linear Overlapping Term Rewriting Systems (YT), pp. 274–284.
DACDAC-1991-Cheng #on the
On Removing Redundancy in Sequential Circuits (KTC), pp. 164–169.
DACDAC-1991-Kitamura #algorithm #fault #simulation
Sequential Circuit Fault Simulation by Fault Information Tracing Algorithm: FIT (YK), pp. 151–154.
DACDAC-1991-PatilBP #generative #parallel #testing
Parallel Test Generation for Sequential Circuits on General-Purpose Multiprocessors (SP, PB, JHP), pp. 155–159.
DACDAC-1991-PomeranzR #fault #on the #using
On Achieving a Complete Fault Coverage for Sequential Machines Using the Transition Fault Model (IP, SMR), pp. 341–346.
PPDPPLILP-1991-BrogiG #constraints #logic #process
Constraints for Synchronizing Logic Coarse-grained Sequential Logic Processes (AB, MG), pp. 407–418.
PPoPPPPoPP-1991-BaconS #communication #csp #parallel #process
Optimistic Parallelization of Communicating Sequential Processes (DFB, RES), pp. 155–166.
CAVCAV-1991-HiraishiHOY #logic #model checking #verification
Vectorized Symbolic Model Checking of Computation Tree Logic for Sequential Machine Verification (HH, KH, HO, SY), pp. 214–224.
CAVCAV-1991-Mutz #behaviour #correctness #proving #term rewriting #using
Using the HOL Prove Assistant for proving the Correctness of term Rewriting Rules reducing Terms of Sequential Behavior (MM), pp. 277–287.
LICSLICS-1991-BucciarelliE
Sequentiality and Strong Stability (AB, TE), pp. 138–145.
DACDAC-1990-AsharDN #approach #composition
A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines (PA, SD, ARN), pp. 601–606.
DACDAC-1990-BurchCMD #model checking #using #verification
Sequential Circuit Verification Using Symbolic Model Checking (JRB, EMC, KLM, DLD), pp. 46–51.
DACDAC-1990-GhoshDN #verification
Verification of Interacting Sequential Circuits (AG, SD, ARN), pp. 213–219.
DACDAC-1990-GhoshDN90a #generative #logic #testing
Sequential Test Generation at the Register-Transfer and Logic Levels (AG, SD, ARN), pp. 580–586.
DACDAC-1990-KuoLW #analysis #fault
A Fault Analysis Method for Synchronous Sequential Circuits (TYK, JYL, JFW), pp. 732–735.
DACDAC-1990-NiermannCP #fault #memory management #named #performance #proving
Proofs: A Fast, Memory Efficient Sequential Circuit Fault Simulator (TMN, WTC, JHP), pp. 535–540.
ICALPICALP-1990-PanangadenSS #data flow #network
Stability and Sequentiality in Dataflow Networks (PP, VS, EWS), pp. 308–321.
CAVCAV-1990-CamuratiGPR #model checking
The Use of Model Checking in ATPG for Sequential Circuits (PC, MG, PP, MSR), pp. 86–95.
CAVCAV-1990-CoudertMB #diagrams #verification
Verifying Temporal Properties of Sequential Machines Without Building their State Diagrams (OC, JCM, CB), pp. 23–32.
CAVCAV-1990-Pixley #equivalence #hardware #implementation
Introduction to a Computational Theory and Implementation of Sequential Hardware Equivalence (CP), pp. 54–64.
ICLPCLP-1990-KorslootM90 #architecture #comparison #modelling #performance #prolog
Sequential Architecture Models for Prolog: A Performance Comparison (MK, HMM), pp. 49–67.
LICSLICS-1990-SekarR #equation #logic #programming
Programming in Equational Logic: Beyond Strong Sequentiality (RCS, IVR), pp. 230–241.
ICLPNACLP-1990-AlkalajLS #automaton #development
FCP Sequential Abstract Machine Characteristics for the Systems Development Workload (LA, TL, EYS), pp. 321–339.
ICLPNACLP-1990-Andrews #logic #prolog
The Logical Structure of Sequential Prolog (JHA), pp. 585–602.
DACDAC-1989-ChoB #fault #generative #simulation
Test Pattern Generation for Sequential MOS Circuits by Symbolic Fault Simulation (KC, REB), pp. 418–423.
DACDAC-1989-Devadas #logic #multi #synthesis
Approaches to Multi-level Sequential Logic Synthesis (SD), pp. 270–276.
DACDAC-1989-Devadas89a #composition
General Decomposition of Sequential Machines: Relationships to State Assignment (SD), pp. 314–320.
STOCSTOC-1989-Beame #trade-off
A General Sequential Time-Space Tradeoff for Finding Unique Elements (PB), pp. 197–203.
POPLPOPL-1989-AttieE #concurrent #process #synthesis
Synthesis of Concurrent Systems with Many Similar Sequential Processes (PCA, EAE), pp. 191–201.
CSLCSL-1989-Wette #complexity #recursion #representation
Sequential Representation of Primitive Recursive Functions, and Complexity Classes (EW), pp. 422–437.
ICLPNACLP-1989-KonogayaHAY #evaluation #performance
Performance Evaluation of a Sequential Inference Machine CHI (AK, SH, AA, MY), pp. 1165–1179.
RTARTA-1989-SekarPR #execution #parallel #performance #term rewriting
Transforming Strongly Sequential Rewrite Systems with Constructors for Efficient parallel Execution (RCS, SP, IVR), pp. 404–418.
DACDAC-1988-AgrawalCA #concurrent #contest #generative #named
Contest: A Concurrent Test Generator for Sequential Circuits (VDA, KTC, PA), pp. 84–89.
DACDAC-1988-HillAHS #algorithm #fault #simulation
A New Two Task Algorithm for Clock Mode Fault Simulation in Sequential Circuits (FJH, EA, WKH, GQS), pp. 583–586.
DACDAC-1988-Stroud #approach #automation #logic #synthesis
An Automated BIST Approach for General Sequential Logic Synthesis (CES), pp. 3–8.
LISPLFP-1988-TinkerK #execution #parallel
Parallel Execution of Sequential Scheme with ParaTran (PT, MK), pp. 28–39.
DACDAC-1987-DevadasMN #abstraction #on the #verification
On the Verification of Sequential Machines at Differing Levels of Abstraction (SD, HKTM, ARN), pp. 271–276.
ICLPSLP-1987-NakashimaN87 #architecture #hardware
Hardware Architecture of the Sequential Inference Machine: PSI-II (HN, KN), pp. 104–113.
DACDAC-1986-Marlett #effectiveness #generative #testing
An effective test generation system for sequential circuits (RM), pp. 250–256.
DACDAC-1986-SupowitF #verification
A new method for verifying sequential circuits (KJS, SJF), pp. 200–207.
SIGMODSIGMOD-1986-Willard #algorithm #worst-case
Good Worst-Case Algorithms for Inserting and Deleting Records in Dense Sequential Files (DEW), pp. 251–260.
ICALPICALP-1986-ReedR #communication #csp #process
A Timed Model for Communicating Sequential Processes (GMR, AWR), pp. 314–323.
ICGTGG-1986-KreowskiW #concurrent #graph grammar #parallel #process
Is parallelism already concurrency? Part 2: Non-sequential processes in graph grammars (HJK, AW), pp. 361–377.
ICLPICLP-1986-Abramson86 #concurrent #logic
Sequential and Concurrent Deterministic Logic Grammars (HA), pp. 389–395.
ICLPICLP-1986-FosterGRS86 #implementation
A Sequential Implementation of Parlog (ITF, SG, GAR, KS), pp. 149–156.
DACDAC-1985-MeshkinpourE #design #functional
A functional language for description and design of digital systems: sequential constructs (FM, MDE), pp. 238–244.
STOCSTOC-1985-ChungHS #self
Self-Organizing Sequential Search and Hilbert’s Inequalities (FRKC, DJH, PDS), pp. 217–223.
ICLPSLP-1985-MiyazakiTC85 #concurrent #implementation #prolog
A Sequential Implementation of Concurrent Prolog Based on the Shallow Binding Scheme (TM, AT, TC), pp. 110–118.
VLDBVLDB-1983-Orenstein #random
A Dynamic Hash File for Random and Sequential Accessing (JAO), pp. 132–141.
STOCSTOC-1982-Willard #maintenance
Maintaining Dense Sequential Files in a Dynamic Environment (Extended Abstract) (DEW), pp. 114–121.
SIGMODSIGMOD-1981-Batory #comparison #performance
B+ Trees and Indexed Sequential Files: A Performance Comparison (DSB), pp. 30–39.
STOCSTOC-1980-BorodinC #sorting #trade-off
A Time-Space Tradeoff for Sorting on a General Sequential Model of Computation (AB, SAC), pp. 294–301.
ICALPICALP-1980-CousotC #analysis #communication #csp #process #semantics
Semantic Analysis of Communicating Sequential Processes (Shortened Version) (PC, RC), pp. 119–133.
AdaTFDA-1980-Pedersen #ada #semantics
A Formal Semantics Definition of Sequential Ada (JSP), pp. 213–308.
VLDBVLDB-1979-Arditi
An Optimized Backout Mechanism for Sequential Updates (JA), pp. 147–154.
STOCSTOC-1979-Ladner #communication #complexity #csp #problem #process
The Complexity of Problems in Systems of Communicating Sequential Processes (Extended Abstract) (REL), pp. 214–223.
ICALPICALP-1979-Janko
Hierarchic Index Sequential Search with Optimal Variable Block Size and Its Minimal Expected Number of Comparisons (WJ), pp. 304–315.
DACDAC-1978-Marlett #generative #named #testing
EBT: A comprehensive test generation technique for highly sequential circuits (RM), pp. 335–339.
ICALPICALP-1978-Toffoli #integration #network
Integration of the Phase-Difference Relations in Asynchronous Sequential Networks (TT), pp. 457–463.
DACDAC-1974-ArimaTAO #algorithm #generative #heuristic #testing
A new heuristic test generation algorithm for sequential circuits (TA, MT, GA, JO), pp. 169–176.
SIGMODSIGFIDET-1974-BehymerOM #analysis
Analysis of Indexed Sequential and Direct Access File Organizations (JAB, RAO, AGM), pp. 389–417.
SIGMODSIGFIDET-1974-CopelandS #memory management
A High Level Data Sublanguage for a Context-Addressed Sequential Memory (GPC, SYWS), pp. 265–276.
ICALPICALP-1974-Bertsch #decidability
A Decidability Result for Sequential Grammars (EB), pp. 577–583.
ICALPICALP-1972-HoschL #finite
Finite Delay Solutions for Sequential Conditions (FAH, LHL), pp. 45–60.
STOCSTOC-1971-McNaughton #set
A Decision Procedure for Generalized Sequential Mapability-onto of Regular Sets (RM), pp. 206–218.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.