BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
high (147)
up (88)
base (34)
use (34)
test (30)

Stem speed$ (all stems)

338 papers:

CASECASE-2015-QuangTH #adaptation #using
FPGA-based sensorless PMSM speed control using adaptive extended Kalman filter (NKQ, DDT, QPH), pp. 1650–1655.
DATEDATE-2015-AhmadyanGNCV #analysis #diagrams #performance
Fast eye diagram analysis for high-speed CMOS circuits (SNA, CG, SN, EC, SV), pp. 1377–1382.
DATEDATE-2015-FangHYZLG #estimation #fault #performance
Efficient bit error rate estimation for high-speed link by Bayesian model fusion (CF, QH, FY, XZ, XL, CG), pp. 1024–1029.
SIGMODSIGMOD-2015-Patel
From Data to Insights @ Bare Metal Speed (JMP), p. 1.
SIGMODSIGMOD-2015-SongZWY #constraints #named
SCREEN: Stream Data Cleaning under Speed Constraints (SS, AZ, JW, PSY), pp. 827–841.
VLDBVLDB-2015-RenW #graph #morphism #scalability
Exploiting Vertex Relationships in Speeding up Subgraph Isomorphism over Large Graphs (XR, JW), pp. 617–628.
SEFMSEFM-2015-Jakobs #clustering #configuration management #reduction #validation
Speed Up Configurable Certificate Validation by Certificate Reduction and Partitioning (MCJ), pp. 159–174.
SIGIRSIGIR-2015-LuccheseNOPT #documentation #ranking
Speeding up Document Ranking with Rank-based Features (CL, FMN, SO, RP, NT), pp. 895–898.
ECOOPECOOP-2015-DimovskiBW #abstraction #analysis #precise #variability
Variability Abstractions: Trading Precision for Speed in Family-Based Analyses (ASD, CB, AW), pp. 247–270.
SACSAC-2015-LagoMM #estimation #network #power management
High speed network impacts and power consumption estimation for cloud data centers (DGdL, ERMM, DM), pp. 615–620.
HPCAHPCA-2015-SethiaJM #gpu #memory management #named
Mascar: Speeding up GPU warps by reducing memory pitstops (AS, DAJ, SAM), pp. 174–185.
ICSTSAT-2015-BalabanovI #preprocessor
Speeding up MUS Extraction with Preprocessing and Chunking (VB, AI), pp. 17–32.
CASECASE-2014-HsiehHP #generative #predict #using
Improving the stability and fuel economy for Belt-Starter Generator Mild HEV at idle speed using model predict control (FCH, YDH, YWP), pp. 916–921.
CASECASE-2014-ParkLH #generative #performance #using
Trajectory generation method using Bézier spiral curves for high-speed on-road autonomous vehicles (BP, YCL, WYH), pp. 927–932.
DATEDATE-2014-KimSAVG #enterprise #metric
Global fan speed control considering non-ideal temperature measurements in enterprise servers (JK, MMS, DA, KV, KCG), pp. 1–6.
DATEDATE-2014-MarianiPZS #design #named #predict #scheduling #simulation #using
DeSpErate: Speeding-up design space exploration by using predictive simulation scheduling (GM, GP, VZ, CS), pp. 1–4.
DATEDATE-2014-NaqviS #resource management
A tree arbiter cell for high speed resource sharing in asynchronous environments (SRN, AS), pp. 1–6.
SASSAS-2014-MonniauxS
Speeding Up Logico-Numerical Strategy Iteration (DM, PS), pp. 253–267.
CHICHI-2014-ParkLMMHS #game studies
Human factors of speed-based exergame controllers (TP, UL, SM, MM, IH, JS), pp. 1865–1874.
HCIHCI-TMT-2014-SzklannySWCW #interface #metaprogramming #named #performance
SyncBox — Synchronizer and Interface for High-Speed Macro Photography (KS, AS, PW, SC, AW), pp. 652–661.
HCIHIMI-DE-2014-SakaguchiIH #development #performance #using
Development of High-Speed Thermal Display Using Water Flow (MS, KI, KH), pp. 233–240.
ICPRICPR-2014-HiltunenELK #comparison #performance
Comparison of General Object Trackers for Hand Tracking in High-Speed Videos (VH, TE, LL, HK), pp. 2215–2220.
RecSysRecSys-2014-BachrachFGKKNP #recommendation #using
Speeding up the Xbox recommender system using a euclidean transformation for inner-product spaces (YB, YF, RGB, LK, NK, NN, UP), pp. 257–264.
ICSEICSE-2014-SingerFS #developer #how #re-engineering #twitter #using
Software engineering at the speed of light: how developers stay current using twitter (LS, FMFF, MADS), pp. 211–221.
SMTSMT-2014-FremontS #program analysis #smt
Speeding Up SMT-Based Quantitative Program Analysis (DJF, SAS), pp. 3–13.
DACDAC-2013-KuruvillaSPVC #analysis #optimisation #set #statistics
Speeding up computation of the max/min of a set of gaussians for statistical timing analysis and optimization (VK, DS, JP, CV, NC), p. 7.
DACDAC-2013-Wang #fault #reliability
Hierarchical decoding of double error correcting codes for high speed reliable memories (ZW), p. 7.
DATEDATE-2013-KumarCT #approach #distributed #realtime #satisfiability
A satisfiability approach to speed assignment for distributed real-time systems (PK, DBC, LT), pp. 749–754.
DATEDATE-2013-NovoAI #estimation #fault #fixpoint #invariant #linear #trade-off
Accuracy vs speed tradeoffs in the estimation of fixed-point errors on linear time-invariant systems (DN, SEA, PI), pp. 15–20.
DATEDATE-2013-PaoneVZSMHL #embedded #manycore #modelling #simulation
Improving simulation speed and accuracy for many-core embedded platforms with ensemble models (EP, NV, VZ, CS, DM, GH, TL), pp. 671–676.
DATEDATE-2013-ZhaoOX #process #synthesis
Profit maximization through process variation aware high level synthesis with speed binning (MZ, AO, CJX), pp. 176–181.
SIGMODSIGMOD-2013-CheungAMM #database
Speeding up database applications with Pyxis (AC, OA, SM, ACM), pp. 969–972.
VLDBVLDB-2013-AntonelliCCLT
Exploiting the Diversity, Mass and Speed of Territorial Data by TELCO Operator for Better User Services (FA, AC, CC, RL, GT), pp. 1164–1165.
VLDBVLDB-2013-SimoesGG #algorithm #approximate #information management #performance #using
When Speed Has a Price: Fast Information Extraction Using Approximate Algorithms (GS, HG, LG), pp. 1462–1473.
ICALPICALP-v1-2013-MegowV #scheduling
Dual Techniques for Scheduling on a Machine with Varying Speed (NM, JV), pp. 745–756.
HCIHCI-AS-2013-BergmansS #behaviour #mobile #persuasion #using
Reducing Speeding Behavior in Young Drivers Using a Persuasive Mobile Application (AB, SS), pp. 541–550.
HCIHCI-AS-2013-LimongelliLMS #education #process
A Teacher Model to Speed Up the Process of Building Courses (CL, ML, AM, FS), pp. 434–443.
HCIHCI-IMT-2013-SrivastavaT #analysis #realtime #using
Real Time Mono-vision Based Customizable Virtual Keyboard Using Finger Tip Speed Analysis (SS, RCT), pp. 497–505.
ICEISICEIS-v1-2013-BarbosaCRM #estimation #network
Average Speed Estimation for Road Networks based on GPS Raw Trajectories (IB, MAC, CR, JAFdM), pp. 490–497.
ICMLICML-c3-2013-CovielloMCL #exclamation #performance
That was fast! Speeding up NN search of high dimensional distributions (EC, AM, ABC, GRGL), pp. 468–476.
KDDKDD-2013-ChakrabartiH #learning #scalability #social
Speeding up large-scale learning with a social prior (DC, RH), pp. 650–658.
OOPSLAOOPSLA-2013-UrecheTO #morphism #named #parametricity #polymorphism #trade-off
Miniboxing: improving the speed to code size tradeoff in parametric polymorphism translations (VU, CT, MO), pp. 73–92.
SACSAC-2013-Serafino #clustering #composition #graph
Speeding up graph clustering via modular decomposition based compression (PS), pp. 156–163.
ICSEICSE-2013-BellomoNO #agile #case study
A study of enabling factors for rapid fielding: combined practices to balance speed and stability (SB, RLN, IO), pp. 982–991.
SPLCSPLC-2013-MartiniPB #agile #communication #development #reuse #scalability
Communication factors for speed and reuse in large-scale agile software development (AM, LP, JB), pp. 42–51.
HPCAHPCA-2013-BeuPHC #performance #verification
High-speed formal verification of heterogeneous coherence hierarchies (JGB, JAP, ERH, TMC), pp. 566–577.
ICSTSAT-2013-LagniezB
Factoring Out Assumptions to Speed Up MUS Extraction (JML, AB), pp. 276–292.
TAPTAP-2013-InsaSR #algorithm #debugging #execution #using
Speeding Up Algorithmic Debugging Using Balanced Execution Trees (DI, JS, AR), pp. 133–151.
CBSECBSE-2012-Bosch #architecture
Speed and innovation through architecture (JB), pp. 1–2.
CASECASE-2012-LeeHJKL #matlab #simulation #using
Dynamic simulation of radial active magnetic bearing system for high speed rotor using ADAMS and MATLAB co-simulation (KCL, DKH, YHJ, CYK, MCL), pp. 880–885.
CASECASE-2012-NguyenOTMA #multi #performance
High-speed autofocusing of multisized microobjects (CNN, KO, TT, YM, TA), pp. 34–39.
DATEDATE-2012-AksanliRM #energy #network
Benefits of green energy and proportionality in high speed wide area networks connecting data centers (BA, TSR, IM), pp. 175–180.
DATEDATE-2012-ChangCM #analysis
RTL analysis and modifications for improving at-speed test (KHC, HZC, ILM), pp. 400–405.
CHICHI-2012-CasiezRV #interactive
1 € filter: a simple speed-based low-pass filter for noisy input in interactive systems (GC, NR, DV), pp. 2527–2530.
CHICHI-2012-DunlopL #multi #optimisation
Multidimensional pareto optimization of touchscreen keyboards for speed, familiarity and improved spell checking (MDD, JL), pp. 2669–2678.
ICPRICPR-2012-DahmBCG #detection #morphism
Topological features and iterative node elimination for speeding up subgraph isomorphism detection (ND, HB, TC, YG), pp. 1164–1167.
ICPRICPR-2012-IwashitaPFLOAT #cost analysis
Speeding up optimum-path forest training by path-cost propagation (ASI, JPP, AXF, RdAL, VMdAO, VHCdA, JMRST), pp. 1233–1236.
SPLCSPLC-2012-MartiniPB #reuse
Enablers and inhibitors for speed with reuse (AM, LP, JB), pp. 116–125.
PPoPPPPoPP-2012-ZuYXWTPD #automaton #implementation #memory management #nondeterminism #performance #regular expression
GPU-based NFA implementation for memory efficient high speed regular expression matching (YZ, MY, ZX, LW, XT, KP, QD), pp. 129–140.
ICSTICST-2012-DurelliOD #mutation testing #testing #towards #virtual machine
Toward Harnessing High-Level Language Virtual Machines for Further Speeding Up Weak Mutation Testing (VHSD, JO, MED), pp. 681–690.
DACDAC-2011-HongSK #case study #estimation #performance #throughput
Emulation based high-accuracy throughput estimation for high-speed connectivities: case study of USB2.0 (BH, CS, DK), pp. 609–614.
DATEDATE-2011-BangaRH #testing
Design-for-test methodology for non-scan at-speed testing (MB, NPR, MSH), pp. 191–196.
DATEDATE-2011-ErbP #analysis #performance
A method for fast jitter tolerance analysis of high-speed PLLs (SE, WP), pp. 1107–1112.
DATEDATE-2011-LiMY #independence
Redressing timing issues for speed-independent circuits in deep submicron age (YL, TSTM, AY), pp. 1376–1381.
DATEDATE-2011-MichelFP #embedded #simulation
Speeding-up SIMD instructions dynamic binary translation in embedded processor simulation (LM, NF, FP), pp. 277–280.
DATEDATE-2011-MiyaseWAFYK #generative #testing
Transition-Time-Relation based capture-safety checking for at-speed scan test generation (KM, XW, MA, HF, YY, SK), pp. 895–898.
DATEDATE-2011-NicolaidisBZ
Eliminating speed penalty in ECC protected memories (MN, TB, NEZ), pp. 1614–1619.
DATEDATE-2011-ShahRK #bound #latency #performance
Priority division: A high-speed shared-memory bus arbitration with bounded latency (HS, AR, AK), pp. 1497–1500.
DATEDATE-2011-SinghSG #generative #performance #testing #using
Testing of high-speed DACs using PRBS generation with “Alternate-Bit-Tapping” (MS, MS, SG), pp. 377–382.
DATEDATE-2011-TsaiLCKCK #bound #on the
On routing fixed escaped boundary pins for high speed boards (TYT, RJL, CYC, CYK, HMC, YK), pp. 461–466.
DATEDATE-2011-YangSSL #reduction #testing
A clock-gating based capture power droop reduction methodology for at-speed scan testing (BY, AS, SS, CL), pp. 197–203.
DATEDATE-2011-YehHWL #framework #simulation
Speeding Up MPSoC virtual platform simulation by Ultra Synchronization Checking Method (YFY, CYH, CAW, HCL), pp. 353–358.
DATEDATE-2011-YipYLD #challenge #design #memory management #mobile
Challenges in designing high speed memory subsystem for mobile applications (TGY, PY, ML, DD), pp. 509–510.
SIGMODSIGMOD-2011-Quiane-RuizPSD #pipes and filters
RAFT at work: speeding-up mapreduce applications under task and node failures (JAQR, CP, JS, JD), pp. 1225–1228.
ICALPICALP-v1-2011-AnandGM #how #question
Meeting Deadlines: How Much Speed Suffices? (SA, NG, NM), pp. 232–243.
CHICHI-2011-ChettyHBOSG #internet #network #why
Why is my internet slow?: making network speeds visible (MC, DH, AB, UO, BS, REG), pp. 1889–1898.
HCIDUXU-v1-2011-SimpsonP #exclamation #experience #user interface
Approaching Warp Speed!: Examining the User Experience in the Age of 4G (QS, SP), pp. 658–667.
HCIHCI-ITE-2011-HipplerKLPKJ #empirical
More than Speed? An Empirical Study of Touchscreens and Body Awareness on an Object Manipulation Task (RKH, DSK, LML, GMP, BAK, SDJ), pp. 33–42.
ICEISICEIS-v2-2011-FanLL #performance #set
Influencing Factors of High-speed Railway Passengers’ Travel Choice based on Rough Set (YF, JL, CL), pp. 213–217.
ICEISICEIS-v2-2011-LongLG #algorithm #performance #predict #search-based
High-speed Railway based on Genetic Algorithm for Prediction of Travel Choice (CL, JL, YG), pp. 26–31.
ICEISICEIS-v4-2011-ZhangZ11a #algorithm #contest #game studies #performance
Model and Algorithm of Competition Between High-speed Railway and Air Transport — Game Theory Based (JZ, PZ), pp. 563–568.
ICMLICML-2011-IkonomovskaGZD
Speeding-Up Hoeffding-Based Regression Trees With Options (EI, JG, BZ, SD), pp. 537–544.
ICMLICML-2011-UrnerSB #predict
Access to Unlabeled Data can Speed up Prediction Time (RU, SSS, SBD), pp. 641–648.
KDDKDD-2011-BhaduriMG #algorithm #detection
Algorithms for speeding up distance-based outlier detection (KB, BLM, CG), pp. 859–867.
SIGIRSIGIR-2011-MartinHRF #music #retrieval #towards
Towards an indexing method to speed-up music retrieval (BM, PH, MR, PF), pp. 1167–1168.
OnwardOnward-2011-McDirmid
Coding at the speed of touch (SM), pp. 61–76.
OOPSLAOOPSLA-2011-CledatKP #programming
Efficiently speeding up sequential computation through the n-way programming model (RC, TK, SP), pp. 537–554.
SACSAC-2011-WangZ #detection #performance #web
High-speed web attack detection through extracting exemplars from HTTP traffic (WW, XZ), pp. 1538–1543.
SPLCSPLC-2011-Bosch11a #legacy
Achieving Speed in Legacy Systems (JB), p. 352.
HPCAHPCA-2011-ChenLZ #memory management #parallel #performance
Essential roles of exploiting internal parallelism of flash memory based solid state drives in high-speed data processing (FC, RL, XZ), pp. 266–277.
HPCAHPCA-2011-LiaoZB #architecture #network
A new server I/O architecture for high speed networks (GL, XZ, LNB), pp. 255–265.
CASECASE-2010-JasperF #automation #performance
Automated high-speed nanopositioning inside scanning electron microscopes (DJ, SF), pp. 704–709.
DATEDATE-2010-AbbasCFKA #adaptation #automation #framework #generative #performance #testing
An automatic test generation framework for digitally-assisted adaptive equalizers in high-speed serial links (MA, KTC, YF, SK, KA), pp. 1755–1760.
DATEDATE-2010-BhaduriS #interface #realtime
A proposal for real-time interfaces in SPEEDS (PB, IS), pp. 441–446.
DATEDATE-2010-ChineaGDDK #megamodelling #on the #performance
On the construction of guaranteed passive macromodels for high-speed channels (AC, SGT, DD, TD, LK), pp. 1142–1147.
DATEDATE-2010-HallerB #low cost #performance
High-speed clock recovery for low-cost FPGAs (IH, ZFB), pp. 610–613.
DATEDATE-2010-NassarBDDG #evaluation #named
BCDL: A high speed balanced DPL for FPGA with global precharge and no early evaluation (MN, SB, JLD, GD, SG), pp. 849–854.
DATEDATE-2010-PeiLL #generative #testing
An on-chip clock generation scheme for faster-than-at-speed delay testing (SP, HL, XL), pp. 1353–1356.
VLDBVLDB-2010-WoodsTA #detection
Complex Event Detection at Wire Speed with FPGAs (LW, JT, GA), pp. 660–669.
SCAMSCAM-2010-Graf #dependence #generative
Speeding Up Context-, Object- and Field-Sensitive SDG Generation (JG), pp. 105–114.
PLDIPLDI-2010-SartorBFHM #array #flexibility #named
Z-rays: divide arrays and conquer speed and flexibility (JBS, SMB, DF, MH, KSM), pp. 471–482.
CHICHI-2010-LeeSL #adaptation #algorithm #evaluation
An adaptive speed-call list algorithm and its evaluation with ESM (SL, JS, GL), pp. 2019–2022.
CHICHI-2010-LiuR #mobile #predict
Predicting Chinese text entry speeds on mobile phones (YL, KJR), pp. 2183–2192.
ICEISICEIS-DISI-2010-AndreiRD #authentication #online
Solutions for Speeding-up On-line Dynamic Signature Authentication (VA, SMR, SD), pp. 121–126.
ICPRICPR-2010-AqmarSF #recognition #robust
Robust Gait Recognition Against Speed Variation (MRA, KS, SF), pp. 2190–2193.
ICPRICPR-2010-ChoPPKKALL #hybrid #segmentation #using
Level-Set Segmentation of Brain Tumors Using a New Hybrid Speed Function (WC, JP, SYP, SHK, SWK, GA, MEL, GL), pp. 1545–1548.
SACSAC-2010-MisraNLC #generative #named #sequence
FANGS: high speed sequence mapping for next generation sequencers (SM, RN, SL, ANC), pp. 1539–1546.
LCTESLCTES-2010-KulkarniJW #optimisation #performance #sequence
Improving both the performance benefits and speed of optimization phase sequence searches (PAK, MRJ, DBW), pp. 95–104.
PPoPPPPoPP-2010-HofmeyrIB
Load balancing on speed (SAH, CI, FB), pp. 147–158.
ICTSSICTSS-2010-ShinboTAHS #network #performance #testing
Practical End-to-End Performance Testing Tool for High Speed 3G-Based Networks (HS, AT, SA, TH, KS), pp. 205–220.
DACDAC-2009-BaumannSP #architecture #assessment #design #embedded #robust
Architectural assessment of design techniques to improve speed and robustness in embedded microprocessors (TB, DSL, CP), pp. 947–950.
DACDAC-2009-XiongSZV #multi #process #statistics
Statistical multilayer process space coverage for at-speed test (JX, YS, VZ, CV), pp. 340–345.
DATEDATE-2009-CabodiCGMNQ #constraints #model checking #verification
Speeding up model checking by exploiting explicit and hidden verification constraints (GC, PC, LG, MM, SN, SQ), pp. 1686–1691.
DATEDATE-2009-ChoudhuryM #fault #logic
Masking timing errors on speed-paths in logic circuits (MRC, KM), pp. 87–92.
DATEDATE-2009-Dubrova #how
How to speed-up your NLFSR-based stream cipher (ED), pp. 878–881.
DATEDATE-2009-HanumaiahVC #constraints #manycore #performance
Performance optimal speed control of multi-core processors under thermal constraints (VH, SBKV, KSC), pp. 1548–1551.
DATEDATE-2009-HsiehLH #reduction
A physical-location-aware X-filling method for IR-drop reduction in at-speed scan test (WWH, ISL, TH), pp. 1234–1237.
STOCSTOC-2009-ChadhaGKM #algorithm
A competitive algorithm for minimizing weighted flow time on unrelatedmachines with speed augmentation (JSC, NG, AK, VNM), pp. 679–684.
ICALPICALP-v1-2009-BansalCPK #bound #scalability
Improved Bounds for Speed Scaling in Devices Obeying the Cube-Root Rule (NB, HLC, KP, DK), pp. 144–155.
CHICHI-2009-ChapuisLP #named
DynaSpot: speed-dependent area cursor (OC, JBL, EP), pp. 1391–1400.
HCIHIMI-DIE-2009-VuRR
The Effects of Practice and Speed Stress with Different Stimulus-Response Mappings (KPLV, AR, RR), pp. 709–717.
AdaSIGAda-2009-DinhB #design pattern #distributed #fault tolerance
Distributed container: a design pattern for fault tolerance and high speed data exchange (TD, SB), pp. 115–118.
KDDKDD-2009-Macskassy #empirical #graph #learning #metric #using
Using graph-based metrics with empirical risk minimization to speed up active learning on networked data (SAM), pp. 597–606.
POPLPOPL-2009-GulwaniMC #complexity #estimation #named #performance #precise
SPEED: precise and efficient static estimation of program computational complexity (SG, KKM, TMC), pp. 127–139.
CAVCAV-2009-Gulwani #analysis #bound #complexity #named
SPEED: Symbolic Complexity Bound Analysis (SG), pp. 51–62.
CAVCAV-2009-Kim #performance #verification
Mixed-Signal System Verification: A High-Speed Link Example (JK), p. 16.
CASECASE-2008-NieIYTOM #analysis #behaviour #performance #video
High-speed video analysis of laboratory rats behaviors in forced swim test (YN, II, KY, TT, KO, HM), pp. 206–211.
DATEDATE-2008-DuanK #energy #performance
Energy Efficient and High Speed On-Chip Ternary Bus (CD, SPK), pp. 515–518.
DATEDATE-2008-LiXHL #named #reduction #testing
iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing (JL, QX, YH, XL), pp. 1184–1189.
DATEDATE-2008-VersenSSD #analysis #locality
Test Instrumentation for a Laser Scanning Localization Technique for Analysis of High Speed DRAM devices (MV, AS, JS, DD), pp. 776–779.
DATEDATE-2008-XiongZVH
Optimal Margin Computation for At-Speed Test (JX, VZ, CV, PAH), pp. 622–627.
TACASTACAS-2008-BarnatBSW #ltl #model checking
Revisiting Resistance Speeds Up I/O-Efficient LTL Model Checking (JB, LB, PS, MW), pp. 48–62.
ICALPICALP-A-2008-BansalCLL #bound #scheduling
Scheduling for Speed Bounded Processors (NB, HLC, TWL, LKL), pp. 409–420.
ICALPICALP-A-2008-FanelliFM #convergence #game studies
The Speed of Convergence in Congestion Games under Best-Response Dynamics (AF, MF, LM), pp. 796–807.
ICALPICALP-A-2008-RegevS #quantum
Impossibility of a Quantum Speed-Up with a Faulty Oracle (OR, LS), pp. 773–781.
CHICHI-2008-MacKenzieI #throughput #trade-off
Fitts’ throughput and the speed-accuracy tradeoff (ISM, PI), pp. 1633–1636.
CHICHI-2008-MarkGK #cost analysis
The cost of interrupted work: more speed and stress (GM, DG, UK), pp. 107–110.
CIKMCIKM-2008-WangLCLO #network #semantics
Speed up semantic search in p2p networks (QW, RL, LC, JL, MTÖ), pp. 1341–1342.
SACSAC-2008-AlthausCEKMMZ
Computing H/D-exchange speeds of single residues from data of peptic fragments (EA, SC, MRE, AK, AGM, AMB, HZ), pp. 1273–1277.
SACSAC-2008-SeifertWK #algorithm #detection #divide and conquer #interactive #paradigm
Application of divide-and-conquer algorithm paradigm to improve the detection speed of high interaction client honeypots (CS, IW, PK), pp. 1426–1432.
HPDCHPDC-2008-DocanPK #named
DART: a substrate for high speed asynchronous data IO (CD, MP, SK), pp. 219–220.
ICSTSAT-2008-StachniakB #learning #satisfiability
Speeding-Up Non-clausal Local Search for Propositional Satisfiability with Clause Learning (ZS, AB), pp. 257–270.
CASECASE-2007-KimLSSZ #approach #biology #metric #performance #using
Iterative Control Approach to High-Speed Force-Distance Curve Measurement Using AFM for Biological Applications (KSK, ZL, PS, SS, QZ), pp. 219–224.
CASECASE-2007-KimLSSZ07a #approach #biology #metric #performance #using
Iterative Control Approach to High-Speed Force-Distance Curve Measurement Using AFM for Biological Applications (KSK, ZL, PS, SS, QZ), p. 91–?.
CASECASE-2007-PuSZD #operating system #precise #realtime
An Application of Real-time Operating System in High Speed and High Precision Motion Control Systems (DP, XS, WZ, HD), pp. 997–1001.
CASECASE-2007-YamamotoFI #3d #metric #multi #performance #using
A High-Speed 3D Shape Measurement System Using a Multi-Sided Mirror (KY, HF, II), pp. 823–828.
CASECASE-2007-YangZL #identification #modelling #performance
Modeling and Identification for High-Speed Milling Machines (JY, DZ, ZL), pp. 346–351.
DACDAC-2007-WenMSKOS #effectiveness #reduction #testing
Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing (XW, KM, TS, SK, YO, KKS), pp. 527–532.
DATEDATE-2007-MatarreseMCDB #algorithm #performance #validation
Experimental validation of a tuning algorithm for high-speed filters (GM, CM, FC, SD, AB), pp. 421–426.
DATEDATE-2007-NaguibG #process #simulation
Speeding up SystemC simulation through process splitting (YNN, RSG), pp. 111–116.
DATEDATE-2007-SavojAAGH #performance
A new technique for characterization of digital-to-analog converters in high-speed systems (JS, AAA, AA, BWG, MAH), pp. 433–438.
DATEDATE-2007-WielageMAW #design #embedded #performance
Design and DfT of a high-speed area-efficient embedded asynchronous FIFO (PW, EJM, MA, CW), pp. 853–858.
VLDBVLDB-2007-LangBMW #multi
Increasing Buffer-Locality for Multiple Index Based Scans through Intelligent Placement and Index Scan Speed Control (CAL, BB, TM, KW), pp. 1298–1309.
TACASTACAS-2007-KatoenKZJ #bisimulation #model checking #probability
Bisimulation Minimisation Mostly Speeds Up Probabilistic Model Checking (JPK, TK, ISZ, DNJ), pp. 87–101.
HCIDHM-2007-LarsLT #artificial reality
Human Age and Vehicle Speeds Affect on Vehicle Ingress Motion Pattern (LH, YL, TF), pp. 843–846.
ICEISICEIS-DISI-2007-GroppeGL #order #using
Using an index of precomputed joins in order to speed up SPARQL processing (SG, JG, VL), pp. 13–20.
SACSAC-2007-YiKOJKD #detection #hardware #performance
Memory-efficient content filtering hardware for high-speed intrusion detection systems (SY, BKK, JO, JJ, GK, CRD), pp. 264–269.
PPoPPPPoPP-2007-IancuS #communication #network #optimisation #performance
Optimizing communication overlap for high-speed networks (CI, ES), pp. 35–45.
CSLCSL-2007-Burel #bound #deduction
Unbounded Proof-Length Speed-Up in Deduction Modulo (GB), pp. 496–511.
ICSTSAT-2007-EenMS #logic #satisfiability #synthesis
Applying Logic Synthesis for Speeding Up SAT (NE, AM, NS), pp. 272–286.
DACDAC-2006-HatamkhaniLSY #design #performance
Power-centric design of high-speed I/Os (HH, FL, VS, CKKY), pp. 867–872.
DACDAC-2006-IyengarGT #flexibility #scalability
A flexible and scalable methodology for GHz-speed structural test (VI, GG, MT), pp. 314–319.
DACDAC-2006-WongB #multi #performance #polynomial
Multi-shift quadratic alternating direction implicit iteration for high-speed positive-real balanced truncation (NW, VB), pp. 257–260.
DATEDATE-2006-HosangadiFK #optimisation #using
Optimizing high speed arithmetic circuits using three-term extraction (AH, FF, RK), pp. 1294–1299.
SIGMODSIGMOD-2006-JagadishOTVZ #multi #network #peer-to-peer
Speeding up search in peer-to-peer networks with a multi-way tree structure (HVJ, BCO, KLT, QHV, RZ), pp. 1–12.
ICSMEICSM-2006-BaldassarreBCV #named
SPEED: Software Project Effort Evaluator based on Dynamic-calibration (MTB, NB, DC, GV), pp. 272–273.
PEPMPEPM-2006-Edwards #fixpoint #simulation #using
Using program specialization to speed SystemC fixed-point simulation (SAE), pp. 21–28.
AdaSIGAda-2006-MarkowRB
Catch that speeding turtle: latching onto fun graphics in CS1 (TM, EKR, JRSB), pp. 29–34.
ICMLICML-2006-PandaCW #bound #concept #detection
Concept boundary detection for speeding up SVMs (NP, EYC, GW), pp. 681–688.
KDDKDD-2006-WuCCH #approximate #incremental #matrix
Incremental approximate matrix factorization for speeding up support vector machines (GW, EYC, YKC, CJH), pp. 760–766.
HPDCHPDC-2006-BrunetAN #network #optimisation
Short Paper : Dynamic Optimization of Communications over High Speed Networks (EB, OA, RN), pp. 345–346.
DACDAC-2005-DongR #automation #megamodelling #performance
Automated nonlinear Macromodelling of output buffers for high-speed digital applications (ND, JSR), pp. 51–56.
DACDAC-2005-RaoV #energy #set
Energy optimal speed control of devices with discrete speed sets (RR, SBKV), pp. 901–904.
DATEDATE-2005-CheonLWWHCPCW #logic
At-Speed Logic BIST for IP Cores (BC, EL, LTW, XW, PH, JC, JP, HC, SW), pp. 860–861.
ICDARICDAR-2005-NatarajanSPM #modelling
Character Duration Modeling for Speed Improvements in the BBN Byblos OCR System (PN, RS, RP, EM), pp. 1136–1140.
ICDARICDAR-2005-PastorTV #normalisation #online #recognition
Writing Speed Normalization for On-Line Handwritten Text Recognition (MP, AHT, EV), pp. 1131–1135.
FoSSaCSFoSSaCS-2005-LuttgenV #approach #bisimulation
Bisimulation on Speed: A Unified Approach (GL, WV), pp. 79–94.
ICMLICML-2005-MichelsSN #learning #using
High speed obstacle avoidance using monocular vision and reinforcement learning (JM, AS, AYN), pp. 593–600.
SEKESEKE-2005-ChangL #compilation #data-driven
Compiler Techniques for Data Driven Languages with Superlinear Speed-up (LHC, ELL), pp. 543–549.
DATEDATE-DF-2004-DaddaMO #design
The Design of a High Speed ASIC Unit for the Hash Function SHA-256 (384, 512) (LD, MM, JO), pp. 70–75.
DATEDATE-DF-2004-PaulinPBLL #framework #multi #performance
Application of a Multi-Processor SoC Platform to High-Speed Packet Forwarding (PGP, CP, EB, ML, DL), pp. 58–63.
DATEDATE-DF-2004-Tissafi-DrissiOG #automation #design #framework #multi #named #performance
RUNE: Platform for Automated Design of Integrated Multi-Domain Systems. Application to High-Speed CMOS Photoreceiver Front-Ends (FTD, IO, FG), pp. 16–21.
DATEDATE-DF-2004-VorisekKF #testing
At-Speed Testing of SOC ICs (VV, TK, HF), pp. 120–125.
DATEDATE-DF-2004-WortmannSM #architecture #performance
A High-Speed Transceiver Architecture Implementable as Synthesizable IP Core (AW, SS, MM), pp. 46–51.
DATEDATE-v1-2004-SehgalC #architecture #composition #performance #testing #using
Efficient Modular Testing of SOCs Using Dual-Speed TAM Architectures (AS, KC), pp. 422–427.
DATEDATE-v2-2004-DuanK
Exploiting Crosstalk to Speed up On-Chip Buse (CD, SPK), pp. 778–783.
SIGMODSIGMOD-2004-JohnsonCKMSS #streaming
Holistic UDAFs at streaming speeds (GC, TJ, FK, SM, OS, DS), pp. 35–46.
VLDBVLDB-2004-YuCLZ #data type #mining #transaction
False Positive or False Negative: Mining Frequent Itemsets from High Speed Transactional Data Streams (JXY, ZC, HL, AZ), pp. 204–215.
FoSSaCSFoSSaCS-2004-LuttgenV #bisimulation #bound
Bisimulation on Speed: Lower Time Bounds (GL, WV), pp. 333–347.
ICALPICALP-2004-FominT #algorithm #exponential #graph #kernel #linear #performance
Fast Parameterized Algorithms for Graphs on Surfaces: Linear Kernel and Exponential Speed-Up (FVF, DMT), pp. 581–592.
CHICHI-2004-PavlovychS
Model for non-expert text entry speed on 12-button phone keypads (AP, WS), pp. 351–358.
ICPRICPR-v1-2004-LeangB #learning
Learning Integrated Perception-Based Speed Control (PL, BB), pp. 813–816.
RERE-2004-DagGBR #requirements
Speeding up Requirements Management in a Product Software Company: Linking Customer Wishes to Product Requirements through Linguistic Engineering (JNoD, VG, SB, BR), pp. 283–294.
DACDAC-2003-RenG #performance
Synthesizing optimal filters for crosstalk-cancellation for high-speed buses (JR, MRG), pp. 592–597.
DATEDATE-2003-AlbiolGA #design #performance
Improved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters (MA, JLG, EA), pp. 10636–10641.
DATEDATE-2003-NummerS #pipes and filters #testing
DFT for Testing igh-Performance Pipelined Circuits with Slow-Speed Testers (MN, MS), pp. 10212–10217.
DATEDATE-2003-OmanaRM #parallel
High Speed and Highly Testable Parallel Two-Rail Code Checker (MO, DR, CM), pp. 10608–10615.
DRRDRR-2003-ClementsS
Speed-up of optical scanner characterization subsystem (RDC, EHBS), pp. 94–102.
ICDARICDAR-2003-VelekN #classification #online #performance #recognition #scalability #set
Enhancing Efficiency and Speed of an Off-line Classifier Employed for On-line Handwriting Recognition of a Large Character Set (OV, MN), pp. 784–788.
VLDBVLDB-2003-ZhouS #clustering #metric
Data Bubbles for Non-Vector Data: Speeding-up Hierarchical Clustering in Arbitrary Metric Spaces (JZ, JS), pp. 452–463.
ICMLICML-2003-LaudD #analysis #learning
The Influence of Reward on the Speed of Reinforcement Learning: An Analysis of Shaping (AL, GD), pp. 440–447.
KDDKDD-2003-GamaRM #data type #mining #performance
Accurate decision trees for mining high-speed data streams (JG, RR, PM), pp. 523–528.
DACDAC-2002-KoukabDD #analysis #named #performance
HSpeedEx: a high-speed extractor for substrate noise analysis in complex mixed signal SOC (AK, CD, MJD), pp. 767–770.
DACDAC-2002-Ykman-CouvreurLVCNK #memory management #network #optimisation #performance
System-level performance optimization of the data queueing memory management in high-speed network processors (CYC, JL, DV, FC, AN, GEK), pp. 518–523.
DATEDATE-2002-BerrojoGCRSEL #injection
New Techniques for Speeding-Up Fault-Injection Campaigns (LB, IG, FC, MSR, GS, LE, CL), pp. 847–852.
DATEDATE-2002-DingM #performance
Optimal Transistor Tapering for High-Speed CMOS Circuits (LD, PM), pp. 708–713.
DATEDATE-2002-OzdagBSN #performance #pipes and filters
High-Speed Non-Linear Asynchronous Pipelines (ROO, PAB, MS, SMN), pp. 1000–1007.
DATEDATE-2002-PilarskiH #satisfiability
Speeding up SAT for EDA (SP, GH), p. 1081.
PEPMASIA-PEPM-2002-Amarasinghe #architecture #compilation
Defying the speed of light: : a spatially-aware compiler for wire-exposed architectures (SPA), p. 70.
PLDIPLDI-2002-AlmasiP #compilation #latency #matlab #named
MaJIC: Compiling MATLAB for Speed and Responsiveness (GSA, DAP), pp. 294–303.
SASSAS-2002-AdamsBDLRSW #analysis #data flow #pointer #using
Speeding Up Dataflow Analysis Using Flow-Insensitive Pointer Analysis (SA, TB, MD, SL, SKR, MS, WW), pp. 230–246.
ICPRICPR-v1-2002-WuYS #identification
Optimal Gabor Filters for High Speed Face Identification (HW, YY, TS), pp. 107–110.
ICPRICPR-v2-2002-ChenC
Speeding up SVM Decision Based on Mirror Points (JHC, CSC), pp. 869–872.
ICPRICPR-v2-2002-FarmerHJ #multi #robust
Interacting Multiple Model (IMM) Kalman Filters for Robust High Speed Human Motion Tracking (MEF, RLH, AKJ), pp. 20–23.
CAVCAV-2002-RybinaV #canonical #infinity #model checking #using
Using Canonical Representations of Solutions to Speed Up Infinite-State Model Checking (TR, AV), pp. 386–400.
DACDAC-2001-PetrovO #architecture #embedded
Speeding Up Control-Dominated Applications through Microarchitectural Customizations in Embedded Processors (PP, AO), pp. 512–517.
DACDAC-2001-PomeranzR #approach #testing
An Approach to Test Compaction for Scan Circuits that Enhances At-Speed Testing (IP, SMR), pp. 156–161.
DACDAC-2001-SanghaviW #estimation #power of
Estimation of Speed, Area, and Power of Parameterizable, Soft IP (JVS, AW), pp. 31–34.
DATEDATE-2001-WormLW #architecture #design #performance #power management
Design of low-power high-speed maximum a priori decoder architectures (AW, HL, NW), pp. 258–267.
ICDARICDAR-2001-VuoriLOK #online #prototype #recognition #set
Speeding Up On-line Recognition of Handwritten Characters by Pruning the Prototype Set (VV, JL, EO, JK), pp. 501–507.
ICALPICALP-2001-AlberFN #complexity #exponential #graph #problem
Parameterized Complexity: Exponential Speed-Up for Planar Graph Problems (JA, HF, RN), pp. 261–272.
CHICHI-2001-TanRC #3d #navigation
Exploring 3D navigation: combining speed-coupled flying with orbiting (DST, GGR, MC), pp. 418–425.
SACSAC-2001-ChenLCC #multi #parametricity #performance
The sustainable-cell-rate usage parameter control with adjustable window for high-speed multimedia communications (SyC, LFL, CSC, CJC), pp. 467–471.
SACSAC-2001-Shimoura #communication #design #performance #self #simulation
Self-consistent simulation studying environment for the design of high-speed optical communication lines (KS), pp. 73–76.
ICSEICSE-2001-HerbslebMFG #development #distance #empirical
An Empirical Study of Global Software Development: Distance and Speed (JDH, AM, TAF, REG), pp. 81–90.
CAVCAV-2001-JohannsenB #design #named
BooStER: Speeding Up RTL Property Checking of Digital Designs by Word-Level Abstarction (PJ), pp. 373–377.
DACDAC-2000-BaiDR #self
Self-test methodology for at-speed test of crosstalk in chip interconnects (XB, SD, JR), pp. 619–624.
DATEDATE-2000-NooshabadiMNSS
A Single Phase Latch for High Speed GaAs Domino Circuits (SN, JAMN, AN, RS, JS), p. 760.
SIGMODSIGMOD-2000-Lomet #logic #online #using
High Speed On-line Backup When Using Logical Log Operations (DBL), pp. 34–45.
VLDBVLDB-2000-PereiraFLS #web
Publish/Subscribe on the Web at Extreme Speed (JLMP, FF, FL, RPP, KAR, DS), pp. 627–630.
CHICHI-2000-SilfverbergMK #mobile #predict
Predicting text entry speed on mobile phones (MS, ISM, PK), pp. 9–16.
CSCWCSCW-2000-Greif #internet #question #research
Research at internet speed: is it necessary? (IG), p. 363.
ICMLICML-2000-ShultzR #comparison #knowledge-based #learning #multi #using
Using Knowledge to Speed Learning: A Comparison of Knowledge-based Cascade-correlation and Multi-task Learning (TRS, FR), pp. 871–878.
ICPRICPR-v2-2000-MarzalB #distance #edit distance #string
Speeding Up the Computation of the Edit Distance for Cyclic Strings (AM, SB), pp. 2891–2894.
ICPRICPR-v3-2000-MurakamiN #detection
High Speed Line Detection by Hough Transform in Local Area (KM, TN), pp. 3471–3474.
KDDKDD-2000-DomingosH #data type #mining #performance
Mining high-speed data streams (PMD, GH), pp. 71–80.
ASPLOSASPLOS-2000-BhoedjangVRBH #communication #design #network #performance #reliability
Evaluating Design Alternatives for Reliable Communication on High-Speed Networks (RB, KV, TR, HEB, RFHH), pp. 71–81.
HPCAHPCA-2000-CasadoBQSD #configuration management #evaluation #network #performance
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks (RC, AB, FJQ, JLS, JD), pp. 85–96.
ICLPCL-2000-HruzaS #logic programming #source code
Binary Speed Up for Logic Programs (JH, PS), pp. 116–130.
DACDAC-1999-RestleRW #design #performance
Dealing with Inductance in High-Speed Chip Design (PR, AER, SGW), pp. 904–909.
DATEDATE-1999-LauwersG #estimation #performance
A Power Estimation Model for High-Speed CMOS A/D Converters (EL, GGEG), pp. 401–405.
DATEDATE-1999-Montiel-NelsonNASN #design #logic #using
High Speed GaAs Subsystem Design using Feed Through Logic (JAMN, SN, VdA, RS, AN), p. 509–?.
DATEDATE-1999-OchiaiINEO #embedded #framework #performance #video
High-speed Software-based Platform for Embedded Software of a Single-chip MPEG-2 Video Encoder LSI with HDTV Scalabilit (KO, HI, JN, ME, TO), pp. 303–308.
DATEDATE-1999-ShinKK #bound #multi #testing
At-Speed Boundary-Scan Interconnect Testing in a Board with Multiple System Clocks (JS, HK, SK), p. 473–?.
DATEDATE-1999-Troster #co-evolution #design #performance
Potentials of Chip-Package Co-Design for High-Speed Digital Applications (GT), pp. 423–422.
ICDARICDAR-1999-KamadaF #image #performance
High-speed, High-accuracy Binarization Method for Recognizing Text in Images of Low Spatial Resolutions (HK, KF), pp. 139–142.
STOCSTOC-1999-ChenLP #markov
Lifting Markov Chains to Speed up Mixing (FC, LL, IP), pp. 275–281.
AdaEuropeAdaEurope-1999-KamradS #ada #implementation #runtime
An Ada Runtime System Implementation of the Ravenscar Profile for High Speed Application-Layer Data Switch (JMKI, BAS), pp. 26–38.
ICEISICEIS-1999-NishiokaKKON #data type #inheritance
A High Speed Access Method to Data Structures with Inheritance Hierarchy (SN, FK, JK, MO, JN), pp. 509–516.
HPCAHPCA-1999-DurbhakulaPA #multi #simulation #trade-off
Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors (MD, VSP, SVA), pp. 23–32.
DACDAC-1998-CuletuAM
A Practical Repeater Insertion Method in High Speed VLSI Circuits (JC, CA, JM), pp. 392–395.
DACDAC-1998-SchaumontVREB #design #programming
A Programming Environment for the Design of Complex High Speed ASICs (PS, SV, LR, ME, IB), pp. 315–320.
DACDAC-1998-Yuan #modelling #network #simulation
Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards (FYY), pp. 421–426.
DATEDATE-1998-EpplerFGM #energy #network #physics
High Speed Neural Network Chip for Trigger Purposes in High Energy Physics (WE, TF, HG, AM), pp. 108–115.
PLDIPLDI-1998-TraubHS #quality
Quality and Speed in Linear-scan Register Allocation (OT, GHH, MDS), pp. 142–151.
ICFPICFP-1998-Ennals #finite #nondeterminism #reasoning
Controlled Temporal Non-Determinism for Reasoning with a Machine of Finite Speed (RE), p. 339.
HPDCHPDC-1998-Johnston #data-driven #performance
High-Speed, Wide Area, Data Intensive Computing: A Ten Year Retrospective (WEJ), pp. 280–291.
HPDCHPDC-1998-LauriaPC #communication #performance
Efficient Layering for High Speed Communication: Fast Messages 2.x (ML, SP, AAC), pp. 10–20.
DACDAC-1997-SemenovYPPC #independence #synthesis
Synthesis of Speed-Independent Circuits from STG-Unfolding Segment (ALS, AY, EP, MAP, JC), pp. 16–21.
DATEEDTC-1997-CortadellaKKLY #composition #independence
Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis (JC, MK, AK, LL, AY), pp. 98–105.
DATEEDTC-1997-Gonzalez-TorresMH #set
Full custom chip set for high speed serial communications up to 2.48 Gbit/s (JGT, PAM, JMH), p. 614.
DATEEDTC-1997-HuangW #array #design #performance
High-speed C-testable systolic array design for Galois-field inversion (CTH, CWW), pp. 342–346.
DATEEDTC-1997-SchaumontVREB #multi #synthesis
Synthesis of multi-rate and variable rate circuits for high speed telecommunications applications (PS, SV, LR, ME, IB), pp. 542–546.
ICDARICDAR-1997-TsengKL #automation #documentation #recognition
Speeding-up Chinese Character Recognition in an Automatic Document Reading System (YHT, CCK, HJL), pp. 629–632.
ICDARICDAR-1997-WaizumiKSN #classification #learning #using
High speed rough classification for handwritten characters using hierarchical learning vector quantization (YW, NK, KS, YN), pp. 23–27.
HPCAHPCA-1997-YangT #memory management #multi
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors (LY, JT), pp. 4–13.
HPDCHPDC-1997-Knafla #adaptation #database #parallel #thread
Speed Up Your Database Client with Adaptable Multithreaded Prefetching (NK), pp. 102–111.
ICALPICALP-1996-Wiedermann #nondeterminism
Speeding-up Single-Tape Nondeterministic Computations by Single Alternation, with Separation Results (JW), pp. 381–392.
ICMLICML-1996-GrolimundG #memory management #nearest neighbour
Speeding-up Nearest Neighbour Memories: The Template Tree Case Memory Organisation (SG, JGG), pp. 225–233.
ICPRICPR-1996-BakkerVOS #image #performance
High-speed acquisition of range images (MdB, PWV, FvdO, GKS), pp. 293–297.
ICPRICPR-1996-SawasakiMU #analysis #design #implementation #performance #realtime #visual notation
Design and implementation of high-speed visual tracking system for real-time motion analysis (NS, TM, TU), pp. 478–483.
HPCAHPCA-1996-YangSD #parallel #query
Parallel Intersecting Compressed Bit Vectors in a High Speed Query Server for Processing Postal Addresses (WjY, RS, VD), pp. 232–241.
HPDCHPDC-1996-Ravindran #design #multi #network
Design of Multicast Packet Swithches for High — speed Multi — Service Networks (KR), pp. 573–584.
DACDAC-1995-MehrotraFS #generative #performance
Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs (SM, PDF, MBS), pp. 381–387.
STOCSTOC-1995-DolevKKP #adaptation #named #network #performance
Bubbles: adaptive routing scheme for high-speed dynamic networks (Extended Abstract) (SD, EK, DK, DP), pp. 528–537.
SACSAC-1995-GandolfiGMR #configuration management #design #fuzzy
Design of a VLSI very high speed reconfigurable digital fuzzy processor (EG, AG, MM, MR), pp. 477–481.
HPDCHPDC-1995-BraunSUSYPNFEM #adaptation #implementation
A High Speed Implementation of Adaptive Shaping for Dynamic Bandwidth Allocation (CB, VS, HU, SWS, EY, DWP, DN, VF, JBE, GJM), pp. 94–101.
DACDAC-1994-DartuMQP #performance
A Gate-Delay Model for high-Speed CMOS Circuits (FD, NM, JQ, LTP), pp. 576–580.
DACDAC-1994-HaradaK #optimisation #performance
A Global Router Optimizing Timing and Area for High-Speed Bipolar LSI’s (IH, HK), pp. 177–181.
DACDAC-1994-KondratyevKLVY #implementation #independence
Basic Gate Implementation of Speed-Independent Circuits (AK, MK, BL, PV, AY), pp. 56–62.
DACDAC-1994-TeraiGNSO #automation #concept #design #performance
Basic Concept of Cooperative Timing-driven Design Automation Technology for High-speed RISC Processor HARP-1 (HT, KG, YN, YS, YO), pp. 262–269.
CHICHI-1994-DouglasM94a
The effect of reducing homing time on the speed of a finger-controlled isometric pointing device (SAD, AKM), pp. 411–416.
SIGIRSIGIR-1994-Allen #information retrieval #learning #performance
Perceptual Speed, Learning and Information Retrieval Performance (BA), pp. 71–80.
SACSAC-1994-KruseGK #fuzzy
A fuzzy controller for idle speed regulation (RK, JG, FK), pp. 155–160.
FSEFSE-1994-RepsHSR #slicing
Speeding up Slicing (TWR, SH, SS, GR), pp. 11–20.
HPDCHPDC-1994-TakamuraT #communication #performance #protocol #scalability
Large-Scale Group Communication Protocol on High-Speed Channel (MT, MT), pp. 254–261.
LICSLICS-1994-BaazFL #proving
A Non-Elementary Speed-Up in Proof Length by Structural Clause Form Transformation (MB, CGF, AL), pp. 213–219.
DACDAC-1993-ChiproutN #evaluation #performance
Addressing High-Speed Interconnect Issues in Asymptotic Waveform Evaluation (EC, MSN), pp. 732–736.
DACDAC-1993-ChoS #algorithm #performance
A Nuffer Distribution Algorithm for High-Speed Clock Routing (JDC, MS), pp. 537–543.
DACDAC-1993-ChouCC #finite #modelling #performance #simulation #using
High-Speed Interconnect Modeling and High-Accuracy Simulation Using SPICE and Finite Element Methods (TYC, JC, ZJC), pp. 684–690.
DACDAC-1993-IqbalPDP #algebra #using
Critical Path Minimization Using Retiming and Algebraic Speed-Up (ZI, MP, SD, ACP), pp. 573–577.
DACDAC-1993-SantucciCG #behaviour #heuristic #using
Speed up of Behavioral A.T.P.G. using a Heuristic Criterion (JFS, ALC, NG), pp. 92–96.
ICDARICDAR-1993-Kigo #preprocessor
Improving speed of Japanese OCR through linguistic preprocessing (KK), pp. 214–217.
HCIHCI-ACS-1993-GalinskySP #monitoring #performance
The Influence of Electronic Performance Monitoring on Speed and Accuracy in a VDT-Based Data-Entry Task (TLG, LMS, CSP), pp. 1023–1028.
CIKMCIKM-1993-Maine #bound #named #performance
HSGIMS — A Secure High-Speed Tool with Bound Search Times for Transparently Managing and Manipulating Information (PADdM), pp. 216–222.
HPDCHPDC-1993-Kung #network #performance
New Flow Control Methods for High-Speed Networks (HTK), p. 4.
HPDCHPDC-1993-NakamuraT #network #performance #protocol
Starvation-Prevented Priority-Based Total Ordering Broadcast Protocol on High-Speed Single Channel Network (AN, MT), pp. 281–288.
HPDCHPDC-1993-RichardsGSBF #adaptation #named #network
DARTS — A Dynamically Adaptable Transport Service Suitable for High Speed Networks (AR, TG, AS, TB, MF), pp. 68–75.
HPDCHPDC-1993-VarmaSB #evaluation #performance #standard
Performance Evaluation of a High-Speed Switching System Based on the Fibre Channel Standard (AV, VS, RB), pp. 144–151.
DACDAC-1992-FranzonSSBMM #generative #tool support
Tools to Aid in Wiring Rule Generation for High Speed Interconnects (PDF, SS, MBS, MB, SM, TM), pp. 466–471.
DACDAC-1992-PomeranzR #testing
At-Speed Delay Testing of Synchronous Sequential Circuits (IP, SMR), pp. 177–181.
DACDAC-1992-WangW #graph #optimisation
A Graph Theoretic Technique to Speed up Floorplan Area Optimization (TCW, DFW), pp. 62–68.
SASWSA-1992-Kuiper #attribute grammar #detection #parallel
Speeding Up Parallelism Detection for Attribute Grammars (MFK), pp. 152–162.
ASPLOSASPLOS-1992-AndersonOST #network #scheduling
High Speed Switch Scheduling for Local Area Networks (TEA, SSO, JBS, CPT), pp. 98–110.
HPDCHPDC-1992-SchmidtBS #adaptation #architecture #flexibility #lightweight #multi #named #network #performance #protocol
ADAPTIVE: A Flexible and Adaptive Transport System Architecture to Support Lightweight Protocols for Multimedia Applications on High-Speed Networks (DCS, DFB, TS), pp. 174–186.
ICLPJICSLP-1992-ChenRR #algorithm #execution #multi #prolog
Multistage Indexing Algorithms for Speeding Prolog Execution (TC, IVR, RR), pp. 639–653.
STOCSTOC-1991-FederM #algorithm #clique #graph
Clique Partitions, Graph Compression, and Speeding-Up Algorithms (TF, RM), pp. 123–133.
ICMLML-1991-CerboneD #compilation #optimisation
Knowledge Compilation to Speed Up Numerical Optimization (GC, TGD), pp. 600–604.
LOPSTRLOPSTR-1991-Eusterbrock #abstraction #learning #logic programming #source code
Speed-up Transformations of Logic Programs by Abstraction and Learning (JE), pp. 167–182.
PPoPPPPoPP-1991-KungSGK #network #performance #scalability
Parallelizing a New Class of Large Applications over High-speed Networks (HTK, PS, MG, MK), pp. 167–177.
CAVCAV-1991-HamaguchiHY #branch #logic #model checking #using #verification
Formal Verification of Speed-Dependent Asynchronous Cicuits Using Symbolic Model Checking of branching Time Regular Temporal Logic (KH, HH, SY), pp. 410–420.
DACDAC-1990-KundaARN #generative #testing #using
Speed Up of Test Generation Using High-Level Primitives (RPK, JAA, BDR, PN), pp. 594–599.
DACDAC-1990-SutanthavibulS #adaptation #layout
An Adaptive Timing-Driven Layout for High Speed VLSI (SS, ES), pp. 90–95.
ASPLOSASPLOS-1989-Gupta #fuzzy
The Fuzzy Barrier: A Mechanism for High Speed Synchronization of Processors (RG), pp. 54–63.
STOCSTOC-1988-GabowT #algorithm #problem
Almost-Optimum Speed-ups of Algorithms for Bipartite Matching and Related Problems (HNG, RET), pp. 514–527.
CCCCHSC-1988-Assmann #bibliography #compilation
A Short Review of High Speed Compilation (WA), pp. 1–10.
CCCCHSC-1988-Grosch #generative #performance
Generators for High-Speed Front-Ends (JG), pp. 81–92.
ICLPJICSCP-1988-HirschmanHS88 #case study #natural language
OR-Parallel Speed-Up in Natural Language Processing: A Case Study (LH, WCH, RCS), pp. 263–279.
DACDAC-1987-CanrightH #logic
Reflections of High Speed Signals Analyzed as a Delay in Timing for Clocked Logic (REC, ARH), pp. 133–139.
PLDIPLDI-1987-Krall #implementation #interpreter #performance #prolog
Implementation of a high-speed Prolog interpreter (AK), pp. 125–131.
DACDAC-1986-KishidaSIIH #logic
A delay test system for high speed logic LSI’s (KK, FS, YI, SI, TH), pp. 786–790.
DACDAC-1986-OgawaISTKYC #algorithm #optimisation #performance
Efficient placement algorithms optimizing delay for high-speed ECL masterslice LSIs (YO, TI, YS, HT, TK, KY, KC), pp. 404–410.
DACDAC-1986-TadaH #performance #scalability
Router system for printed wiring boards of very high-speed, very large-scale computers (TT, AH), pp. 791–797.
ICLPICLP-1986-BowenBCT86 #compilation #design #implementation #incremental #performance #prolog
The Design and Implementation of a High-Speed Incremental Portable Prolog Compiler (KAB, KAB, IC, AKT), pp. 650–656.
DACDAC-1985-KrasniewskiA #estimation #self
Simulation-free estimation of speed degradation in NMOS self-testing circuits for CAD applications (AK, AA), pp. 808–811.
DACDAC-1985-MiyoshiKTNA #logic #simulation
Speed up techniques of logic simulation (MM, YK, OT, YN, NA), pp. 812–815.
STOCSTOC-1984-Vishkin #parallel #random
Randomized Speed-Ups in Parallel Computation (UV), pp. 230–239.
DACDAC-1982-UlrichH #modelling #network #simulation
Speed and accuracy in digital network simulation based on structural modeling (EU, DH), pp. 587–593.
POPLPOPL-1982-ReifS #bound #communication #distributed #variability
Unbounded Speed Variability in Distributed Communication Systems (JHR, PGS), pp. 46–56.
DACDAC-1981-Hlynka #design
A simulator to replace wire rules for high speed computer design (AH), pp. 113–117.
DACDAC-1981-Krohn #simulation
Vector coding techniques for high speed digital simulation (HEK), pp. 525–529.
DACDAC-1980-UlrichLPTKEB #concurrent #fault #performance #simulation
High-speed concurrent fault simulation with vectors and scalars (EU, DL, NP, JT, MK, TE, RB), pp. 374–380.
STOCSTOC-1972-AhoU #lr #parsing
A Technique for Speeding Up LR(k) Parsers (AVA, JDU), pp. 251–263.
ICALPICALP-1972-Schnorr #programming #question
Does the Computational Speed-up Concern Programming? (CPS), pp. 585–591.
STOCSTOC-1971-ConstableH #complexity
Complexity of Formal Translations and Speed-Up Results (RLC, JH), pp. 244–250.
DACDAC-1969-Bening #logic #simulation
Accurate simulation of high speed computer logic (LCBJ), pp. 103–112.
STOCSTOC-1969-Blum #algorithm #effectiveness #on the
On Effective Procedures for Speeding Up Algorithms (MB), pp. 43–53.
STOCSTOC-1969-Young #order #set
Speed-Ups by Changing the Order in Which Sets are Enumerated (Preliminary Version) (PRY), pp. 89–92.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.