BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
process (102)
model (75)
awar (45)
analysi (42)
power (33)

Stem variat$ (all stems)

403 papers:

DACDAC-2015-HanLKNL #framework #multi #optimisation #reduction
A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction (KH, JL, ABK, SN, JL), p. 6.
DACDAC-2015-LiuLCLWH #named
Vortex: variation-aware training for memristor X-bar (BL, HL, YC, XL, QW, TH), p. 6.
DACDAC-2015-ZolotovF #integer #linear #programming
Variation aware cross-talk aggressor alignment by mixed integer linear programming (VZ, PF), p. 6.
DATEDATE-2015-0001CY #approach #optimisation #process #robust
A robust approach for process variation aware mask optimization (JK, WKC, EFYY), pp. 1591–1594.
DATEDATE-2015-ChenYQFM #evaluation #model checking #scheduling #statistics #using
Variation-aware evaluation of MPSoC task allocation and scheduling strategies using statistical model checking (MC, DY, XQ, XF, PM), pp. 199–204.
DATEDATE-2015-GoncalvesLCTCB #algorithm #modelling #performance #reduction
A fast spatial variation modeling algorithm for efficient test cost reduction of analog/RF circuits (HRG, XL, MVC, VT, JMCJ, KMB), pp. 1042–1047.
DATEDATE-2015-KapadiaP #adaptation #named #parallel #scheduling
VARSHA: variation and reliability-aware application scheduling with adaptive parallelism in the dark-silicon era (NAK, SP), pp. 1060–1065.
DATEDATE-2015-LiJHWCGLKW #design #optimisation #using
Variation-aware, reliability-emphasized design and optimization of RRAM using SPICE model (HL, ZJ, PH, YW, HYC, BG, XYL, JFK, HSPW), pp. 1425–1430.
DATEDATE-2015-MotamanGR #adaptation #robust
Impact of process-variations in STTRAM and adaptive boosting for robustness (SM, SG, NR), pp. 1431–1436.
DATEDATE-2015-WangWXWWYDLMW #adaptation #process
Adaptively tolerate power-gating-induced power/ground noise under process variations (ZW, XW, JX, XW, ZW, PY, LHKD, HL, RKVM, ZW), pp. 483–488.
DATEDATE-2015-ZhangZCY #scalability
Exploiting DRAM restore time variations in deep sub-micron scaling (XZ, YZ, BRC, JY), pp. 477–482.
FoSSaCSFoSSaCS-2015-BacciBLM #distance #markov #on the
On the Total Variation Distance of Semi-Markov Chains (GB, GB, KGL, RM), pp. 185–199.
SANERSANER-2015-MuskeB #on the #static analysis #tool support
On implementational variations in static analysis tools (TM, PB), pp. 512–515.
ICMLICML-2015-BachmanP #collaboration #generative #network #probability
Variational Generative Stochastic Networks with Collaborative Shaping (PB, DP), pp. 1964–1972.
ICMLICML-2015-DjolongaK #modelling #scalability
Scalable Variational Inference in Log-supermodular Models (JD, AK), pp. 1804–1813.
ICMLICML-2015-HoangHL #big data #framework #modelling #probability #process
A Unifying Framework of Anytime Sparse Gaussian Process Regression Models with Stochastic Variational Inference for Big Data (TNH, QMH, BKHL), pp. 569–578.
ICMLICML-2015-JerniteRS #approach #learning #markov #modelling #performance #random
A Fast Variational Approach for Learning Markov Random Field Language Models (YJ, AMR, DS), pp. 2209–2217.
ICMLICML-2015-LloydGOR #process
Variational Inference for Gaussian Process Modulated Poisson Processes (CML, TG, MAO, SJR), pp. 1814–1822.
ICMLICML-2015-RegierMMAHLSP #generative #image #named
Celeste: Variational inference for a generative model of astronomical images (JR, AM, JM, RPA, MDH, DL, DS, P), pp. 2095–2103.
ICMLICML-2015-RezendeM #normalisation
Variational Inference with Normalizing Flows (DJR, SM), pp. 1530–1538.
ICMLICML-2015-SalimansKW #markov #monte carlo
Markov Chain Monte Carlo and Variational Inference: Bridging the Gap (TS, DPK, MW), pp. 1218–1226.
ICMLICML-2015-ShahKG #algorithm #empirical #probability #process
An Empirical Study of Stochastic Variational Inference Algorithms for the Beta Bernoulli Process (AS, DAK, ZG), pp. 1594–1603.
ICMLICML-2015-ShethWK #modelling
Sparse Variational Inference for Generalized GP Models (RS, YW, RK), pp. 1302–1311.
ICMLICML-2015-TheisH #probability #streaming
A trust-region method for stochastic variational inference with applications to streaming data (LT, MDH), pp. 2503–2511.
KDDKDD-2015-SatoN #online #probability
Stochastic Divergence Minimization for Online Collapsed Variational Bayes Zero Inference of Latent Dirichlet Allocation (IS, HN), pp. 1035–1044.
SIGIRSIGIR-2015-LeeCM
Inter-Category Variation in Location Search (CJL, NC, VPM), pp. 863–866.
SACSAC-2015-SantosOA #development #mining #process
Mining software development process variations (RMSS, TCO, FBeA), pp. 1657–1660.
SPLCSPLC-2015-0002Z #agile #approach
Lean variation management: increasing business value with a diversified approach (MB, BZ), p. 385.
SPLCSPLC-2015-ColaLTQ #product line
An MDE tool for defining software product families with explicit variation points (SDC, KKL, CMT, CQ), pp. 355–360.
ASPLOSASPLOS-2015-GoiriNB #for free #named
CoolAir: Temperature- and Variation-Aware Management for Free-Cooled Datacenters (IG, TDN, RB), pp. 253–265.
VMCAIVMCAI-2015-RandourRS #probability #problem
Variations on the Stochastic Shortest Path Problem (MR, JFR, OS), pp. 1–18.
DACDAC-2014-KozhikkottuPPDR #clustering #parallel #source code #thread
Variation Aware Cache Partitioning for Multithreaded Programs (VJK, AP, VSP, SD, AR), p. 6.
DACDAC-2014-LiuCCJ
Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware Dummification (CYL, HJKC, YWC, JHRJ), p. 6.
DACDAC-2014-PanthSDL #3d #performance
Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations (SP, KS, YD, SKL), p. 6.
DACDAC-2014-RehmanKSSH #adaptation #code generation #dependence #named #process #reliability
dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects (SR, FK, DS, MS, JH), p. 6.
DACDAC-2014-ShinMP #modelling #statistics
Statistical Battery Models and Variation-Aware Battery Management (DS, EM, MP), p. 6.
DACDAC-2014-WangX #on the #performance #simulation
On the Simulation of NBTI-Induced Performance Degradation Considering Arbitrary Temperature and Voltage Variations (TW, QX), p. 6.
DACDAC-2014-ZhaoJZX #process
SLC-enabled Wear Leveling for MLC PCM Considering Process Variation (MZ, LJ, YZ, CJX), p. 6.
DATEDATE-2014-AguileraLFMSK #algorithm #clustering #multi #process
Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking (PA, JL, AFF, KM, MJS, NSK), pp. 1–6.
DATEDATE-2014-NejatAA #power management #process
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits (MN, BA, AAK), pp. 1–4.
DATEDATE-2014-PaternaZR #component #mobile
Ambient variation-tolerant and inter components aware thermal management for mobile system on chips (FP, JZ, TSR), pp. 1–6.
DATEDATE-2014-Sadri0WWB #3d #energy #optimisation #using
Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh (MS, MJ, CW, NW, LB), pp. 1–4.
DATEDATE-2014-SongDY #analysis #bound #multi #order #parametricity #performance #reduction
Zonotope-based nonlinear model order reduction for fast performance bound analysis of analog circuits with multiple-interval-valued parameter variations (YS, SMPD, HY), pp. 1–6.
DRRDRR-2014-KumarWG #documentation #keyword
Variational dynamic background model for keyword spotting in handwritten documents (GK, SW, VG), pp. 902104–9.
TACASTACAS-2014-Kupferman #safety
Variations on Safety (OK), pp. 1–14.
DLTDLT-2014-KarhumakiSZ #equivalence #theorem
Variations of the Morse-Hedlund Theorem for k-Abelian Equivalence (JK, AS, LQZ), pp. 203–214.
CIKMCIKM-2014-JiaDGZ #analysis #community #network
Analysis on Community Variational Trend in Dynamic Networks (XJ, ND, JG, AZ), pp. 151–160.
CIKMCIKM-2014-Liu0LXL #identification #towards
Towards Pathway Variation Identification: Aligning Patient Records with a Care Pathway (HL, YL, XL, GTX, GTL), pp. 1359–1368.
ICMLICML-c2-2014-BartunovV #distance #process
Variational Inference for Sequential Distance Dependent Chinese Restaurant Process (SB, DV), pp. 1404–1412.
ICMLICML-c2-2014-JohnsonW #modelling #probability
Stochastic Variational Inference for Bayesian Time Series Models (MJ, ASW), pp. 1854–1862.
ICMLICML-c2-2014-LiuZWY
Safe Screening with Variational Inequalities and Its Application to Lasso (JL, ZZ, JW, JY), pp. 289–297.
ICMLICML-c2-2014-MnihG #learning #network
Neural Variational Inference and Learning in Belief Networks (AM, KG), pp. 1791–1799.
ICMLICML-c2-2014-ReedSZL #interactive #learning
Learning to Disentangle Factors of Variation with Manifold Interaction (SR, KS, YZ, HL), pp. 1431–1439.
ICMLICML-c2-2014-TitsiasL #probability
Doubly Stochastic Variational Bayes for non-Conjugate Inference (MKT, MLG), pp. 1971–1979.
ICMLICML-c2-2014-WangLYFWY #algorithm #modelling #parallel #scalability
A Highly Scalable Parallel Algorithm for Isotropic Total Variation Models (JW, QL, SY, WF, PW, JY), pp. 235–243.
ICPRICPR-2014-ChenSPS #detection #energy #statistics
Statistical Anomaly Detection in Mean and Variation of Energy Consumption (BC, MS, JP, AS), pp. 3570–3575.
ICPRICPR-2014-MesterC #statistics
When Patches Match — A Statistical View on Matching under Illumination Variation (RM, CC), pp. 4364–4369.
ICPRICPR-2014-QuLWXT #framework #robust #set
Robust Point Set Matching under Variational Bayesian Framework (HBQ, JCL, JQW, LX, HJT), pp. 58–63.
ICPRICPR-2014-RanjanHJ #image #retrieval #word
Enhancing Word Image Retrieval in Presence of Font Variations (VR, GH, CVJ), pp. 2709–2714.
OnwardOnward-2014-WalkingshawKEAB #data type #trade-off #variability
Variational Data Structures: Exploring Tradeoffs in Computing with Variability (EW, CK, ME, SA, EB), pp. 213–226.
GPCEGPCE-2014-WalkingshawO #editing #projectional
Projectional editing of variational software (EW, KO), pp. 29–38.
SACSAC-2014-NogueiraMV #case study #execution
An experimental study on execution time variation in computer experiments (PEN, RMJ, EV), pp. 1529–1534.
HPCAHPCA-2014-AgrawalAT #energy #locality #named #process
Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules (AA, AA, JT), pp. 84–95.
HPCAHPCA-2014-AnsariMXT #energy #named #network
Tangle: Route-oriented dynamic voltage minimization for variation-afflicted, energy-efficient on-chip networks (AA, AKM, JX, JT), pp. 440–451.
LICSLICS-CSL-2014-ChenK #distance #markov #on the
On the total variation distance of labelled Markov chains (TC, SK), p. 10.
DACDAC-2013-0001WAWG #approach #empirical #estimation #towards
Towards variation-aware system-level power estimation of DRAMs: an empirical approach (KC, CW, BA, NW, KG), p. 8.
DACDAC-2013-HillsZMSWWM #agile #design #guidelines
Rapid exploration of processing and design guidelines to overcome carbon nanotube variations (GH, JZ, CM, MMS, HW, HSPW, SM), p. 10.
DACDAC-2013-KimJK #algorithm #problem
An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem (JK, DJ, TK), p. 6.
DACDAC-2013-TajikHD #3d #architecture #manycore #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DATEDATE-2013-CarreteroHMRV
Capturing vulnerability variations for register files (JC, EH, MM, TR, XV), pp. 1468–1473.
DATEDATE-2013-ChangWB #design
Process-variation-aware Iddq diagnosis for nano-scale CMOS designs — the first step (CLC, CHPW, JB), pp. 454–457.
DATEDATE-2013-ChenRSIFC #analysis #process
A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation (YYC, AR, AS, GI, GF, DC), pp. 1789–1794.
DATEDATE-2013-ChenWLL #automation #flexibility #process
Automatic circuit sizing technique for the analog circuits with flexible TFTs considering process variation and bending effects (YLC, WRW, GRL, CNJL), pp. 1458–1461.
DATEDATE-2013-FirouziKTN #analysis #runtime
Incorporating the impacts of workload-dependent runtime variations into timing analysis (FF, SK, MBT, SRN), pp. 1022–1025.
DATEDATE-2013-Hara-AzumiFKT #process
Instruction-set extension under process variation and aging effects (YHA, FF, SK, MBT), pp. 182–187.
DATEDATE-2013-HongK #architecture #named
AVICA: an access-time variation insensitive L1 cache architecture (SH, SK), pp. 65–70.
DATEDATE-2013-MillerB #parametricity #satisfiability #verification
Formal verification of analog circuit parameters across variation utilizing SAT (MM, FB), pp. 1442–1447.
DATEDATE-2013-RaghunathanTGM #multi #named #process
Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors (BR, YT, SG, DM), pp. 39–44.
DATEDATE-2013-RahimiBG #adaptation #approach
Hierarchically focused guardbanding: an adaptive approach to mitigate PVT variations and aging (AR, LB, RKG), pp. 1695–1700.
DATEDATE-2013-RahimiMBGB #clustering
Variation-tolerant OpenMP tasking on tightly-coupled processor clusters (AR, AM, PB, RKG, LB), pp. 541–546.
DATEDATE-2013-WagnerW #analysis #performance #statistics
Efficient variation-aware statistical dynamic timing analysis for delay test applications (MW, HJW), pp. 276–281.
DATEDATE-2013-ZhangYH0 #testing
Capturing post-silicon variation by layout-aware path-delay testing (XZ, JY, YH, XL), pp. 288–291.
DATEDATE-2013-ZhaoOX #process #synthesis
Profit maximization through process variation aware high level synthesis with speed binning (MZ, AO, CJX), pp. 176–181.
DRRDRR-2013-AzawiLB #documentation #layout
WFST-based ground truth alignment for difficult historical documents with text modification and layout variations (MIAAA, ML, TMB).
DRRDRR-2013-RicquebourgCG #evaluation #recognition #robust #verification #word
Evaluation of lexicon size variations on a verification and rejection system based on SVM, for accurate and robust recognition of handwritten words (YR, BC, LG).
ICDARICDAR-2013-GerdjikovMN
Extraction of Spelling Variations from Language Structure for Noisy Text Correction (SG, SM, VN), pp. 324–328.
ICSMEICSM-2013-NordOSDGK #architecture #using
Variations on Using Propagation Cost to Measure Architecture Modifiability Properties (RLN, IO, RSS, JD, MAG, PK), pp. 400–403.
ICALPICALP-v1-2013-BringmannF #generative #geometry #graph #performance #random
Exact and Efficient Generation of Geometric Random Variates and Random Graphs (KB, TF), pp. 267–278.
HCIDHM-HB-2013-XieKD #modelling #verification
Anatomy-Based Variational Modeling of Digital Hand and Its Verification (YX, SK, HD), pp. 384–392.
HCIDUXU-WM-2013-Aurelio #architecture #design #simulation #visualisation
Visualizing Information Associated with Architectural Design Variations and Simulations (DA), pp. 469–477.
ECIRECIR-2013-MiyanishiSU #microblog #topic
Combining Recency and Topic-Dependent Temporal Variation for Microblog Search (TM, KS, KU), pp. 331–343.
ICMLICML-c2-2013-KimVS #approximate #corpus #modelling #topic
A Variational Approximation for Topic Modeling of Hierarchical Corpora (DkK, GMV, LKS), pp. 55–63.
ICMLICML-c2-2013-RanganathWBX #adaptation #learning #probability
An Adaptive Learning Rate for Stochastic Variational Inference (RR, CW, DMB, EPX), pp. 298–306.
ICMLICML-c3-2013-KhanAFS #modelling #performance
Fast Dual Variational Inference for Non-Conjugate Latent Gaussian Models (MEK, AYA, MPF, MWS), pp. 951–959.
KDDKDD-2013-FouldsBDSW #probability
Stochastic collapsed variational Bayesian inference for latent Dirichlet allocation (JRF, LB, CD, PS, MW), pp. 446–454.
KDDKDD-2013-YangWFZWY #algorithm #multi #performance #problem
An efficient ADMM algorithm for multidimensional anisotropic total variation regularization problems (SY, JW, WF, XZ, PW, JY), pp. 641–649.
RecSysRecSys-2013-KoenigsteinP #embedded #feature model #matrix #recommendation
Xbox movies recommendations: variational bayes matrix factorization with embedded feature selection (NK, UP), pp. 129–136.
HPCAHPCA-2013-SandbergSHB #modelling #performance
Modeling performance variation due to cache sharing (AS, AS, EH, DBS), pp. 155–166.
HPCAHPCA-2013-WangDXJ #named
i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations (JW, XD, YX, NPJ), pp. 234–245.
ICTSSICTSS-2013-GuttingerKKW #reduction #testing
Variations over Test Suite Reduction (DG, VK, DK, SW), pp. 149–163.
DACDAC-2012-ChajiJ #low cost
Generic low-cost characterization of Vth and mobility variations in LTPS TFTs for non-uniformity calibration of active-matrix OLED displays (GRC, JJ), pp. 182–187.
DACDAC-2012-FangC
Simultaneous flare level and flare variation minimization with dummification in EUVL (SYF, YWC), pp. 1179–1184.
DACDAC-2012-KongC #3d #process
Exploiting narrow-width values for process variation-tolerant 3-D microprocessors (JK, SWC), pp. 1197–1206.
DACDAC-2012-KozhikkottuDR #design
Recovery-based design for variation-tolerant SoCs (VJK, SD, AR), pp. 826–833.
DACDAC-2012-SeoDWPCMBM #architecture #process
Process variation in near-threshold wide SIMD architectures (SS, RGD, MW, YP, CC, SAM, DB, TNM), pp. 980–987.
DACDAC-2012-ZolotovSHFVXLV #analysis #statistics
Timing analysis with nonseparable statistical and deterministic variations (VZ, DS, JGH, EAF, CV, JX, JL, NV), pp. 1061–1066.
DATEDATE-2012-JongheMGMTS #modelling #roadmap #testing #verification
Advances in variation-aware modeling, verification, and testing of analog ICs (DdJ, EM, GGEG, TM, BT, HGDS), pp. 1615–1620.
DATEDATE-2012-JuanCMC #modelling #optimisation #power management #statistics
Statistical thermal modeling and optimization considering leakage power variations (DCJ, YLC, DM, YWC), pp. 605–610.
DATEDATE-2012-KamalASP #approach #architecture #process
An architecture-level approach for mitigating the impact of process variations on extensible processors (MK, AAK, SS, MP), pp. 467–472.
DATEDATE-2012-LiDX #process
Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations (ML, AD, LX), pp. 1591–1596.
DATEDATE-2012-PourshaghaghiFG
Sliding-Mode Control to Compensate PVT Variations in dual core systems (HRP, HF, JPdG), pp. 1048–1053.
DATEDATE-2012-RahimiBG #analysis
Analysis of instruction-level vulnerability to dynamic voltage and temperature variations (AR, LB, RKG), pp. 1102–1105.
DATEDATE-2012-WuLMC #approach #correlation
Mitigating lifetime underestimation: A system-level approach considering temperature variations and correlations between failure mechanisms (KCW, MCL, DM, SCC), pp. 1269–1274.
DATEDATE-2012-XuLHRHT #analysis #power management
Variation-aware leakage power model extraction for system-level hierarchical power analysis (YX, BL, RH, BR, CH, JT), pp. 346–351.
DATEDATE-2012-XuYCJW #3d #performance
Efficient variation-aware EM-semiconductor coupled solver for the TSV structures in 3D IC (YX, WY, QC, LJ, NW), pp. 1409–1412.
ICFPICFP-2012-ChenEW #type system #λ-calculus
An error-tolerant type system for variational λ calculus (SC, ME, EW), pp. 29–40.
CSCWCSCW-2012-MellisB #arduino #collaboration #hardware #open source
Collaboration in open-source hardware: third-party variations on the arduino duemilanove (DM, LB), pp. 1175–1178.
ICMLICML-2012-GershmanHB #parametricity
Nonparametric variational inference (SG, MDH, DMB), p. 35.
ICMLICML-2012-KoS #modelling #scalability
Large Scale Variational Bayesian Inference for Structured Scale Mixture Models (YJK, MWS), p. 229.
ICMLICML-2012-LinXWZ #learning
Total Variation and Euler’s Elastica for Supervised Learning (TL, HX, LW, HZ), p. 82.
ICMLICML-2012-MysoreS #markov #modelling #performance
Variational Inference in Non-negative Factorial Hidden Markov Models for Efficient Audio Source Separation (GJM, MS), p. 194.
ICMLICML-2012-PaisleyBJ #probability
Variational Bayesian Inference with Stochastic Search (JWP, DMB, MIJ), p. 177.
ICMLICML-2012-RudermanRGP #metric #probability #strict
Tighter Variational Representations of f-Divergences via Restriction to Probability Measures (AR, MDR, DGG, JP), p. 151.
ICMLICML-2012-SatoN
Rethinking Collapsed Variational Bayes Inference for LDA (IS, HN), p. 101.
ICPRICPR-2012-GhoseMOMLFVCSM #functional #probability #segmentation
A Mumford-Shah functional based variational model with contour, shape, and probability prior information for prostate segmentation (SG, JM, AO, RM, XL, JF, JCV, JC, DS, FM), pp. 121–124.
ICPRICPR-2012-MiyamotoMSS #3d #classification #estimation #precise #realtime
Real-time and precise 3-D hand posture estimation based on classification tree trained with variations of appearances (SM, TM, NS, YS), pp. 453–456.
ICPRICPR-2012-PengWK #segmentation
A new convex variational model for liver segmentation (JP, JW, DK), pp. 3754–3757.
ICPRICPR-2012-SalvadorRKH #re-engineering #video
Variational reconstruction and restoration for video Super-Resolution (JS, DR, AK, JRH), pp. 1047–1051.
ICPRICPR-2012-WangGLLG #multi #query
Tempo variation based multilayer filters for query by humming (QW, ZG, BL, GL, JG), pp. 3034–3037.
KDDKDD-2012-SatoKN #process
Practical collapsed variational bayes inference for hierarchical dirichlet process (IS, KK, HN), pp. 105–113.
GPCEGPCE-2012-WalkingshawE #calculus #implementation #modelling
A calculus for modeling and implementing variation (EW, ME), pp. 132–140.
HPCAHPCA-2012-MillerPTST #named #process
Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips (TNM, XP, RT, NS, RT), pp. 27–38.
DACDAC-2011-AarestadLPAA #process
Characterizing within-die and die-to-die delay variations introduced by process variations and SOI history effect (JA, CL, JP, DA, KA), pp. 534–539.
DACDAC-2011-AisoposCP #fault #modelling
Enabling system-level modeling of variation-induced faults in networks-on-chips (KA, CHOC, LSP), pp. 930–935.
DACDAC-2011-DongZHWL
Wear rate leveling: lifetime enhancement of PRAM with endurance variation (JD, LZ, YH, YW, XL), pp. 972–977.
DACDAC-2011-DyerMK #hybrid #modelling #process
Hybrid modeling of non-stationary process variations (ELD, MM, FK), pp. 194–199.
DACDAC-2011-HaoTSS #analysis #bound #performance #process
Performance bound analysis of analog circuits considering process variations (ZH, SXDT, RS, GS), pp. 310–315.
DACDAC-2011-LeeJ #framework #modelling #named #process
CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations (CYL, NKJ), pp. 866–871.
DACDAC-2011-SharifiK #multi #process
Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
DATEDATE-2011-FerreiraBCMM #algorithm #process
Impact of process variation on endurance algorithms for wear-prone memories (APF, SB, BRC, RGM, DM), pp. 962–967.
DATEDATE-2011-GhasemazarP #architecture #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATEDATE-2011-JuanGM #3d #evaluation #multi #process #statistics
Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations (DCJ, SG, DM), pp. 383–388.
DATEDATE-2011-KamalAP
Timing variation-aware custom instruction extension technique (MK, AAK, MP), pp. 1517–1520.
DATEDATE-2011-KobayashiH #analysis #correlation #power management
An LOCV-based static timing analysis considering spatial correlations of power supply variations (SK, KH), pp. 559–562.
DATEDATE-2011-KunduS #design #modelling #process
Modeling manufacturing process variation for design and test (SK, AS), pp. 1147–1152.
DATEDATE-2011-LuJTL #architecture #parametricity #performance #simulation
Efficient parameter variation sampling for architecture simulations (FL, RJ, GT, SL), pp. 1578–1583.
DATEDATE-2011-MerrettAWZRMRLFA #analysis #modelling #monte carlo #performance #statistics #variability
Modelling circuit performance variations due to statistical variability: Monte Carlo static timing analysis (MM, PA, YW, MZ, DR, CM, SR, ZL, SBF, AA), pp. 1537–1540.
DATEDATE-2011-NarayananZT #correctness #pattern matching #process #using
Ensuring correctness of analog circuits in presence of noise and process variations using pattern matching (RN, MHZ, ST), pp. 1188–1191.
DATEDATE-2011-RemondNBM #approach #design #empirical #process #simulation
Mathematical approach based on a “Design of Experiment” to simulate process variations (ER, EN, CB, RM), pp. 1486–1490.
DATEDATE-2011-SreedharK11a #security
Physically unclonable functions for embeded security based on lithographic variation (AS, SK), pp. 1632–1637.
DATEDATE-2011-VatajeluF #analysis #in memory #memory management #robust
Robustness analysis of 6T SRAMs in memory retention mode under PVT variations (EIV, JF), pp. 980–985.
DATEDATE-2011-YangO #adaptation #flexibility #manycore
Frugal but flexible multicore topologies in support of resource variation-driven adaptivity (CY, AO), pp. 1255–1260.
CHICHI-2011-SuzukiBYJNAF #mobile #modelling
Variation in importance of time-on-task with familiarity with mobile phone models (SS, VB, NY, BEJ, YN, TA, SF), pp. 2551–2554.
HCIDUXU-v2-2011-Yang #eye tracking #web
Exploring Cultural Variation in Eye Movements on a Web Page between Americans and Koreans (CY), pp. 68–76.
ICEISICEIS-v1-2011-ChenZ #analysis #bound
Analysis on the Spatial Variation of the Center of Gravity of Inbound Tourism in China (YC, HZ), pp. 441–447.
ICMLICML-2011-JimenezS #performance
Fast Newton-type Methods for Total Variation Regularization (ÁBJ, SS), pp. 313–320.
ICMLICML-2011-Lazaro-GredillaT #process
Variational Heteroscedastic Gaussian Process Regression (MLG, MKT), pp. 841–848.
ICMLICML-2011-Neumann #policy
Variational Inference for Policy Search in changing situations (GN), pp. 817–824.
ICMLICML-2011-PaisleyCB #process
Variational Inference for Stick-Breaking Beta Process Priors (JWP, LC, DMB), pp. 889–896.
ICMLICML-2011-YangR #learning #on the #visual notation
On the Use of Variational Inference for Learning Discrete Graphical Model (EY, PDR), pp. 1009–1016.
SACSAC-2011-RathgebUW #recognition
Shifting score fusion: on exploiting shifting variation in iris recognition (CR, AU, PW), pp. 3–7.
GTTSEGTTSE-2011-ErwigW #calculus #programming
Variation Programming with the Choice Calculus (ME, EW), pp. 55–100.
ICSEICSE-2011-SimidchievaO #process
Characterizing process variation (BIS, LJO), pp. 836–839.
SPLCSPLC-2011-KatoY #cumulative #feature model #interactive #product line
Variation Management for Software Product Lines with Cumulative Coverage of Feature Interactions (SK, NY), pp. 140–149.
CASECASE-2010-WuZ #bound #clustering #petri net #process #scheduling #tool support
Petri net-based scheduling of time-constrained dual-arm cluster tools with bounded activity time variation (NW, MZ), pp. 465–470.
DACDAC-2010-El-MoselhyD #probability
Stochastic dominant singular vectors method for variation-aware extraction (TAEM, LD), pp. 667–672.
DACDAC-2010-HuangX #performance #process #scheduling
Performance yield-driven task allocation and scheduling for MPSoCs under process variation (LH, QX), pp. 326–331.
DACDAC-2010-NiuCXX #process
Impact of process variations on emerging memristor (DN, YC, CX, YX), pp. 877–882.
DACDAC-2010-OzdemirPDMLC #3d #architecture #parametricity
Quantifying and coping with parametric variations in 3D-stacked microarchitectures (SO, YP, AD, GM, GHL, ANC), pp. 144–149.
DACDAC-2010-XieDS
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations (LX, AD, KKS), pp. 274–279.
DACDAC-2010-ZhangLR
Bayesian virtual probe: minimizing variation characterization cost for nanoscale IC technologies via Bayesian inference (WZ, XL, RAR), pp. 262–267.
DATEDATE-2010-BsoulMS #process
Reliability- and process variation-aware placement for FPGAs (AAMB, NM, LS), pp. 1809–1814.
DATEDATE-2010-El-MoselhyD #order #reduction #statistics #using
Variation-aware interconnect extraction using statistical moment preserving model order reduction (TAEM, LD), pp. 453–458.
DATEDATE-2010-HatamiP #analysis #component #library #performance #representation #robust #using
Efficient representation, stratification, and compression of variational CSM library waveforms using Robust Principle Component Analysis (SH, MP), pp. 1285–1290.
DATEDATE-2010-HernandezSD #process
A methodology for the characterization of process variation in NoC links (CH, FS, JD), pp. 685–690.
DATEDATE-2010-Kheradmand-BoroujeniPL #independence #novel #process
AVGS-Mux style: A novel technology and device independent technique for reducing power and compensating process variations in FPGA fabrics (BKB, CP, YL), pp. 339–344.
DATEDATE-2010-NarayananAZTP #process #verification
Formal verification of analog circuits in the presence of noise and process variation (RN, BA, MHZ, ST, LCP), pp. 1309–1312.
DATEDATE-2010-PengYTC #fault #process
High-quality pattern selection for screening small-delay defects considering process variations and crosstalk (KP, MY, MT, KC), pp. 1426–1431.
DATEDATE-2010-RitheGWDGBC #analysis #logic #statistics
Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage (RR, JG, AW, SD, GG, DB, AC), pp. 965–968.
DATEDATE-2010-SeyabH #framework #modelling
NBTI modeling in the framework of temperature variation (S, SH), pp. 283–286.
DATEDATE-2010-WangT #novel #physics #process
Novel Physical Unclonable Function with process and environmental variations (XW, MT), pp. 1065–1070.
DATEDATE-2010-ZhangPLWM
Carbon nanotube circuits: Living with imperfections and variations (JZ, NP, AL, HSPW, SM), pp. 1159–1164.
DATEDATE-2010-ZhuoSB #process #reliability
Process variation and temperature-aware reliability management (CZ, DS, DB), pp. 580–585.
ICMLICML-2010-NakajimaS #matrix
Implicit Regularization in Variational Bayesian Matrix Factorization (SN, MS), pp. 815–822.
ICMLICML-2010-Seeger #scalability
Gaussian Covariance and Scalable Variational Inference (MWS), pp. 967–974.
ICMLICML-2010-SzlamB
Total Variation, Cheeger Cuts (AS, XB), pp. 1039–1046.
ICPRICPR-2010-AqmarSF #recognition #robust
Robust Gait Recognition Against Speed Variation (MRA, KS, SF), pp. 2190–2193.
ICPRICPR-2010-ArandaN
Angular Variation as a Monocular Cue for Spatial Perception (JA, AAN), pp. 3468–3471.
ICPRICPR-2010-BespalovDS #geometry
Geometric Total Variation for Texture Deformation (DB, ABD, AS), pp. 4597–4600.
ICPRICPR-2010-BruneauGP #parametricity #probability
Aggregation of Probabilistic PCA Mixtures with a Variational-Bayes Technique Over Parameters (PB, MG, FP), pp. 702–705.
ICPRICPR-2010-ChetverikovM #case study #component #image #metric
An Experimental Study of Image Components and Data Metrics for Illumination-Robust Variational Optical Flow (DC, JM), pp. 1694–1697.
ICPRICPR-2010-GunluB #3d #composition
3D Face Decomposition and Region Selection Against Expression Variations (GG, HSB), pp. 1298–1301.
ICPRICPR-2010-HouY #modelling
A Variational Formulation for Fingerprint Orientation Modeling (ZH, WYY), pp. 1626–1629.
ICPRICPR-2010-LindbladSL #image
De-noising of SRµCT Fiber Images by Total Variation Minimization (JL, NS, TL), pp. 4621–4624.
ICPRICPR-2010-SenechalBP #automation #detection #using
Automatic Facial Action Detection Using Histogram Variation Between Emotional States (TS, KB, LP), pp. 3752–3755.
ICPRICPR-2010-TakasuFA #algorithm #similarity
A Variational Bayesian EM Algorithm for Tree Similarity (AT, DF, TA), pp. 1056–1059.
ICPRICPR-2010-YukselG #classification #detection
Variational Mixture of Experts for Classification with Applications to Landmine Detection (SEY, PDG), pp. 2981–2984.
ICPRICPR-2010-ZhangSQ #modelling #recognition #speech
Modeling Syllable-Based Pronunciation Variation for Accented Mandarin Speech Recognition (SZ, QS, YQ), pp. 1606–1609.
MODELSMoDELS-v1-2010-GronnigerRR #diagrams #process #semantics #towards
Towards a Semantics of Activity Diagrams with Semantic Variation Points (HG, DR, BR), pp. 331–345.
GPCEGPCE-2010-Erwig #research #variability
A language for software variation research (ME), pp. 3–12.
GPCEGPCE-2010-RysselPK #automation #identification #modelling
Automatic variation-point identification in function-block-based models (UR, JP, KK), pp. 23–32.
SLESLE-2010-Erwig #research #variability
A Language for Software Variation Research (ME), p. 1.
ASPLOSASPLOS-2010-Shen #behaviour
Request behavior variations (KS), pp. 103–116.
DACDAC-2009-BowmanTWLKDB
Circuit techniques for dynamic variation tolerance (KAB, JT, CW, SLL, TK, VD, SYB), pp. 4–7.
DACDAC-2009-ChangMR #architecture #hybrid #process #video
A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors (IJC, DM, KR), pp. 670–675.
DACDAC-2009-ChengGSQH #modelling #variability
Physically justifiable die-level modeling of spatial variation in view of systematic across wafer variability (LC, PG, CJS, KQ, LH), pp. 104–109.
DACDAC-2009-GongYH #incremental #named #parallel #probability #process
PiCAP: a parallel and incremental capacitance extraction considering stochastic process variation (FG, HY, LH), pp. 764–769.
DACDAC-2009-HelinskiAP #physics #using
A physical unclonable function defined using power distribution system equivalent resistance variations (RH, DA, JP), pp. 676–681.
DACDAC-2009-LinB #analysis #process
Analysis and mitigation of process variation impacts on Power-Attack Tolerance (LL, WPB), pp. 238–243.
DACDAC-2009-LuSZZYZ #analysis #process #reliability #statistics
Statistical reliability analysis under process variation and aging effects (YL, LS, HZ, HZ, FY, XZ), pp. 514–519.
DACDAC-2009-MutluLMC #analysis #approach #parametricity
A parametric approach for handling local variation effects in timing analysis (AAM, JL, RM, MC), pp. 126–129.
DACDAC-2009-PanKOMC #process
Selective wordline voltage boosting for caches to manage yield under process variations (YP, JK, SO, GM, SWC), pp. 57–62.
DACDAC-2009-VeetilSBSR #analysis #dependence #performance
Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence (VV, DS, DB, SS, SR), pp. 154–159.
DACDAC-2009-YuHZ
Variational capacitance extraction of on-chip interconnects based on continuous surface model (WY, CH, WZ), pp. 758–763.
DACDAC-2009-ZhangBDSJ #multi #process
Process variation characterization of chip-level multiprocessors (LZ, LSB, RPD, LS, RJ), pp. 694–697.
DACDAC-2009-ZhangPHM
Carbon nanotube circuits in the presence of carbon nanotube density variations (JZ, NP, AH, SM), pp. 71–76.
DATEDATE-2009-AliKWW #modelling #optimisation #performance
Improved performance and variation modelling for hierarchical-based optimisation of analogue integrated circuits (SA, LK, RW, PRW), pp. 712–717.
DATEDATE-2009-CongG #energy #multi #performance #scheduling
Energy efficient multiprocessor task scheduling under input-dependent variation (JC, KG), pp. 411–416.
DATEDATE-2009-HongNKO #concurrent #multi #process #thread
Process variation aware thread mapping for Chip Multiprocessors (SH, SHKN, MTK, ÖÖ), pp. 821–826.
DATEDATE-2009-MishraAZ #adaptation
Variation resilient adaptive controller for subthreshold circuits (BM, BMAH, MZ), pp. 142–147.
DATEDATE-2009-NagarajK #case study #process
A study on placement of post silicon clock tuning buffers for mitigating impact of process variation (KN, SK), pp. 292–295.
DATEDATE-2009-RedaN #metric #modelling #novel #parametricity #process
Analyzing the impact of process variations on parametric measurements: Novel models and applications (SR, SRN), pp. 375–380.
DATEDATE-2009-SasanHEK #process #scalability
Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling (AS, HH, AME, FJK), pp. 911–916.
ICDARICDAR-2009-KatayamaUS #order #probability
Stochastic Model of Stroke Order Variation (YK, SU, HS), pp. 803–807.
ICDARICDAR-2009-Likforman-SulemDS #documentation #preprocessor
Pre-Processing of Degraded Printed Documents by Non-local Means and Total Variation (LLS, JD, EHBS), pp. 758–762.
ICDARICDAR-2009-YinL #segmentation
A Variational Bayes Method for Handwritten Text Line Segmentation (FY, CLL), pp. 436–440.
ITiCSEITiCSE-2009-Kumar #education
Need to consider variations within demographic groups when evaluating educational interventions (ANK), pp. 176–180.
HCIDHM-2009-ZhuangSBLV
Facial Shape Variation of U.S. Respirator Users (ZZ, DES, SB, DL, DJV), pp. 578–587.
HCIIDGD-2009-Lee #design #experience #interactive #social #user interface
Culture and Co-experience: Cultural Variation of User Experience in Social Interaction and Its Implications for Interaction Design (JJL), pp. 39–48.
ICMLICML-2009-BouchardZ
Split variational inference (GB, OZ), pp. 57–64.
ICMLICML-2009-NickischS #linear #modelling #scalability
Convex variational Bayesian inference for large scale generalized linear models (HN, MWS), pp. 761–768.
SIGIRSIGIR-2009-McNameeNM
Addressing morphological variation in alphabetic languages (PM, CKN, JM), pp. 75–82.
SACSAC-2009-EcharteACV #folksonomy #quality
Improving folksonomies quality by syntactic tag variations grouping (FE, JJA, AC, JEV), pp. 1226–1230.
SACSAC-2009-ParkYAMP #algorithm #approach #detection #novel #search-based #segmentation #using
A novel approach to detect copy number variation using segmentation and genetic algorithm (CP, YY, JA, MM, SP), pp. 788–792.
SPLCSPLC-2009-HendricksonWHTK #modelling #personalisation #privacy
Modeling PLA variation of privacy-enhancing personalized systems (SAH, YW, AvdH, RNT, AK), pp. 71–80.
SPLCSPLC-2009-KrutC #architecture #product line
Service-oriented architectures and software product lines: enhancing variation (RWK, SGC), pp. 301–302.
HPCAHPCA-2009-FuLF #fault #process
Soft error vulnerability aware process variation mitigation (XF, TL, JABF), pp. 93–104.
HPCAHPCA-2009-HerbertM #scalability
Variation-aware dynamic voltage/frequency scaling (SH, DM), pp. 301–312.
ICSTICST-2009-JohM #process
Seasonal Variation in the Vulnerability Discovery Process (HJ, YKM), pp. 191–200.
CASECASE-2008-NicholsM
Variational integrators for constrained cables (KN, TDM), pp. 802–807.
CASECASE-2008-WuZPCC #clustering #constraints #modelling #petri net #process #realtime #tool support
Petri net modeling and real-time control of dual-arm cluster tools with residency time constraint and activity time variations (NW, MZ, SP, FC, CC), pp. 109–114.
DACDAC-2008-HeloueN #analysis #modelling
Parameterized timing analysis with general delay models and arbitrary variation sources (KRH, FNN), pp. 403–408.
DACDAC-2008-KulkarniKPR #array #process
Process variation tolerant SRAM array for ultra low voltage applications (JPK, KK, SPP, KR), pp. 108–113.
DACDAC-2008-LiL #modelling #performance #statistics
Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations (XL, HL), pp. 38–43.
DACDAC-2008-LiZY #analysis #verification
Full-chip leakage analysis in nano-scale technologies: mechanisms, variation sources, and verification (TL, WZ, ZY), pp. 594–599.
DACDAC-2008-MoselhyD #equation #performance #probability
Stochastic integral equation solver for efficient variation-aware interconnect extraction (TM, LD), pp. 415–420.
DACDAC-2008-OgrasMM #adaptation #feedback #multi
Variation-adaptive feedback control for networks-on-chip with multiple clock domains (ÜYO, RM, DM), pp. 614–619.
DACDAC-2008-YeLNC #modelling #simulation #statistics
Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness (YY, FL, SRN, YC), pp. 900–905.
DATEDATE-2008-KM #design #process #queue
Process Variation Aware Issue Queue Design (RK, MM), pp. 1438–1443.
DATEDATE-2008-MedardoniLB #design #self
Variation tolerant NoC design by means of self-calibrating links (SM, ML, DB), pp. 1402–1407.
DATEDATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
DATEDATE-2008-WangSX #framework #synthesis
A Variation Aware High Level Synthesis Framework (FW, GS, YX), pp. 1063–1068.
DATEDATE-2008-ZhangYWYJX #correlation #performance #process #statistics
An Efficient Method for Chip-Level Statistical Capacitance Extraction Considering Process Variations with Spatial Correlation (WZ, WY, ZW, ZY, RJ, JX), pp. 580–585.
ICSMEICSM-2008-Kamiya #analysis #identifier
Variation analysis of context-sharing identifiers with code clones (TK), pp. 464–465.
ICPRICPR-2008-BruneauGP #approach #modelling #reduction
Parameter-based reduction of Gaussian mixture models with a variational-Bayes approach (PB, MG, FP), pp. 1–4.
ICPRICPR-2008-ChivertonMX #difference #similarity
Variational Maximum A Posteriori model similarity and dissimilarity matching (JC, MM, XX), pp. 1–4.
ICPRICPR-2008-LiDM #feature model #learning #locality #using
Localized feature selection for Gaussian mixtures using variational learning (YL, MD, YM), pp. 1–4.
ICPRICPR-2008-LiLCL #approach #image #segmentation
A variational inference based approach for image segmentation (ZL, QL, JC, HL), pp. 1–4.
ICPRICPR-2008-LiuJ #classification #multi
Violence classification based on shape variations from multiple views (FL, YJ), pp. 1–4.
ICPRICPR-2008-WangWHHG #image #symmetry
Symmetric segment-based stereo matching of motion blurred images with illumination variations (WW, YW, LH, QH, WG), pp. 1–4.
SIGIRSIGIR-2008-TeevanDL #modelling #personalisation #query
To personalize or not to personalize: modeling queries with variation in user intent (JT, STD, DJL), pp. 163–170.
PPDPPPDP-2008-MoralesCH #automaton #generative #using
Comparing tag scheme variations using an abstract machine generator (JFM, MC, MVH), pp. 32–43.
SACSAC-2008-MitrofanovaM #evolution #modelling #simulation
Population genetics of human copy number variations: models and simulation of their evolution along and across the genomes (AM, BM), pp. 1309–1310.
ASEASE-2007-DiepED
Reducing irrelevant trace variations (MD, SGE, MBD), pp. 477–480.
CASECASE-2007-SarkisSD #automation #modelling #parametricity #using
Modeling the Variation of the Intrinsic Parameters of an Automatic Zoom Camera System using Moving Least-Squares (MS, CTS, KD), pp. 560–565.
DACDAC-2007-AgarwalN #process
Characterizing Process Variation in Nanometer CMOS (KA, SRN), pp. 396–399.
DACDAC-2007-ChandraLRD #power management
System-on-Chip Power Management Considering Leakage Power Variations (SC, KL, AR, SD), pp. 877–882.
DACDAC-2007-ChengXH #analysis #statistics
Non-Linear Statistical Static Timing Analysis for Non-Gaussian Variation Sources (LC, JX, LH), pp. 250–255.
DACDAC-2007-ChenZ #performance #process
Fast Min-Cost Buffer Insertion under Process Variations (RC, HZ), pp. 338–343.
DACDAC-2007-KangKR #design #power management #using
Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop (KK, KK, KR), pp. 934–939.
DACDAC-2007-KimJH #estimation #monte carlo #performance
Fast, Non-Monte-Carlo Estimation of Transient Performance Variation Due to Device Mismatch (JK, KDJ, MAH), pp. 440–443.
DACDAC-2007-LiuS #predict #process #scalability #statistics
Confidence Scalable Post-Silicon Statistical Delay Prediction under Process Variations (QL, SSS), pp. 497–502.
DATEDATE-2007-BanerjeeKR #architecture #power management #process
Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
DATEDATE-2007-GargM #analysis #design #interactive #multi #process #throughput
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs (SG, DM), pp. 403–408.
DATEDATE-2007-GuptaOJWB #comprehension #distributed #multi #network #using
Understanding voltage variations in chip multiprocessors using a distributed power-delivery network (MSG, JLO, RJ, GYW, DMB), pp. 624–629.
DATEDATE-2007-HumenayTS #manycore #performance #process #symmetry
Impact of process variations on multicore performance symmetry (EH, DT, KS), pp. 1653–1658.
DATEDATE-2007-HungIGS #fault
Utilization of SECDED for soft error and variation-induced defect tolerance in caches (LDH, HI, MG, SS), pp. 1134–1139.
DATEDATE-2007-MutyamV #process
Working with process variation aware caches (MM, NV), pp. 1152–1157.
DATEDATE-2007-TiwaryP #analysis #named
WAVSTAN: waveform based variational static timing analysis (SKT, JRP), pp. 1000–1005.
DATEDATE-2007-ZhangOSFKB #analysis #approach #named #parametricity #process
CMCal: an accurate analytical approach for the analysis of process variations with non-gaussian parameters and nonlinear functions (MZ, MO, DS, MF, HK, EB), pp. 243–248.
DATEDATE-2007-ZhuZCXZ #grid #probability #process
A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology (HZ, XZ, WC, JX, DZ), pp. 1514–1519.
DATEDATE-2007-ZjajoAG #interactive #monitoring #parametricity #process
Interactive presentation: BIST method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits (AZ, MJBA, JPdG), pp. 1301–1306.
SIGMODSIGMOD-2007-TangCLMYZ #effectiveness #pseudo
Effective variation management for pseudo periodical streams (LaT, BC, HL, GM, DY, XZ), pp. 257–268.
ICEISICEIS-EIS-2007-OussenaSB #implementation
BPEL Patterns for Implementing Variations in SOA Applications (SO, DS, BB), pp. 295–300.
ICMLICML-2007-LarochelleECBB #architecture #empirical #evaluation #problem
An empirical evaluation of deep architectures on problems with many factors of variation (HL, DE, ACC, JB, YB), pp. 473–480.
SIGIRSIGIR-2007-Kettunen #generative #information retrieval #keyword #word
Management of keyword variation with frequency based generation of word forms in IR (KK), pp. 691–692.
ECMFAECMDA-FA-2007-CuccuruMTG #metamodelling #semantics
Templatable Metamodels for Semantic Variation Points (AC, CM, FT, SG), pp. 68–82.
ASEASE-2006-RamanathanGJ #automation #detection #named
Sieve: A Tool for Automatically Detecting Variations Across Program Versions (MKR, AG, SJ), pp. 241–252.
CASECASE-2006-PonnambalamSSG #algorithm #assembly #parallel #search-based
PARALLEL POPULATIONS GENETIC ALGORITHM FOR MINIMIZING ASSEMBLY VARIATION IN SELECTIVE ASSEMBLY (SGP, SSS, SS, MG), pp. 496–500.
DACDAC-2006-AnanthanR #physics #process
A fully physical model for leakage distribution under process variations in Nanoscale double-gate CMOS (HA, KR), pp. 413–418.
DACDAC-2006-BhardwajVGC #analysis #modelling #optimisation #process
Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits (SB, SBKV, PG, YC), pp. 791–796.
DACDAC-2006-CaoDH #standard
Standard cell characterization considering lithography induced variations (KC, SD, JH), pp. 801–804.
DACDAC-2006-DadgourJB #architecture #novel #power management
A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates (HFD, RVJ, KB), pp. 977–982.
DACDAC-2006-GhantaVBP #analysis #correlation #power management #probability #scalability
Stochastic variational analysis of large power grids considering intra-die correlations (PG, SBKV, SB, RP), pp. 211–216.
DACDAC-2006-HuebbersDI #parametricity #performance #process
Computation of accurate interconnect process parameter values for performance corners under process variations (FH, AD, YII), pp. 797–800.
DACDAC-2006-LuoSSKC
An IC manufacturing yield model considering intra-die variations (JL, SS, QS, JK, CC), pp. 749–754.
DACDAC-2006-NabaaAN #adaptation #architecture #process
An adaptive FPGA architecture with process variation compensation and reduced leakage (GN, NA, FNN), pp. 624–629.
DACDAC-2006-NassifPRSBR #analysis #question
Variation-aware analysis: savior of the nanometer era? (SRN, VP, NR, DS, CB, RR), pp. 411–412.
DACDAC-2006-YuSP #modelling #process
Process variation aware OPC with variational lithography modeling (PY, SXS, DZP), pp. 785–790.
DATEDATE-2006-BudnikR #distributed #network #novel #power management #using
Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network (MMB, KR), pp. 1116–1121.
DATEDATE-2006-BuhlerKBHSSPR #design #process
DFM/DFY design for manufacturability and yield — influence of process variations in digital, analog and mixed-signal circuit design (MB, JK, JB, JH, US, RS, MP, AR), pp. 387–392.
DATEDATE-2006-ZhangZD #modelling #named #parametricity #process
ALAMO: an improved alpha-space based methodology for modeling process parameter variations in analog circuits (HZ, YZ, AD), pp. 156–161.
VLDBVLDB-2006-XingHCZ #distributed
Providing Resiliency to Load Variations in Distributed Stream Processing (YX, JHH, , SBZ), pp. 775–786.
DLTDLT-2006-Ravikumar #automaton #finite #modelling #on the #probability
On Some Variations of Two-Way Probabilistic Finite Automata Models (BR), pp. 443–454.
ECIRECIR-2006-AlberairS #query
Morphological Variation of Arabic Queries (AA, MS), pp. 468–471.
ICPRICPR-v1-2006-KimH #3d #image #multi #performance #sequence
Variational Multigrid for Fast 3D Interpretation of Image Sequences (JSK, KSH), pp. 267–270.
ICPRICPR-v1-2006-LamY #algorithm #calculus #clustering
Improved Clustering Algorithm Based on Calculus of Variation (BSYL, HY), pp. 900–903.
ICPRICPR-v2-2006-ChenH #bound #composition #image #segmentation
Boundary correction for total variation regularized L^1 function with applications to image decomposition and segmentation (TC, TSH), pp. 316–319.
ICPRICPR-v3-2006-KostlerPRH #adaptation
Adaptive variational sinogram interpolation of sparsely sampled CT data (HK, MP, UR, JH), pp. 778–781.
ICPRICPR-v3-2006-TuIXFH #invariant #probability #recognition
Variational Shift Invariant Probabilistic PCA for Face Recognition (JT, AI, XX, FFL, TSH), pp. 548–551.
ICPRICPR-v4-2006-YanY #re-engineering
A Nonlinear Variational Model for PET Reconstruction (JY, JY), pp. 699–702.
MODELSMoDELS-2006-TaleghaniA #semantics #uml
Semantic Variations Among UML StateMachines (AT, JMA), pp. 245–259.
MODELSMoDELS-2006-TaleghaniA #semantics #uml
Semantic Variations Among UML StateMachines (AT, JMA), pp. 245–259.
SPLCSPL-BOOK-2006-HallsteinsenSBF #architecture
Dealing with Architectural Variation in Product Populations (SOH, GS, GB, TEF), pp. 245–273.
ASEASE-2005-ShankarASX #realtime #reasoning #semantics
Reasoning about real-time statecharts in the presence of semantic variations (SS, SA, VS, XX), pp. 243–252.
DACDAC-2005-AziziKDN #design #power management #scalability
Variations-aware low-power design with voltage scaling (NA, MMK, VD, FNN), pp. 529–534.
DACDAC-2005-BhardwajV #random
Leakage minimization of nano-scale circuits in the presence of systematic and random variations (SB, SBKV), pp. 541–546.
DACDAC-2005-BlaauwC #tool support
CAD tools for variation tolerance (DB, KC), p. 766.
DACDAC-2005-CaoC #approach #modelling #performance #process #statistics #towards #variability
Mapping statistical process variations toward circuit performance variability: an analytical modeling approach (YC, LTC), pp. 658–663.
DACDAC-2005-ChangS #analysis #correlation #power management #process
Full-chip analysis of leakage power under process variations, including spatial correlations (HC, SSS), pp. 523–528.
DACDAC-2005-JayakumarK #approach #design
A variation tolerant subthreshold design approach (NJ, SPK), pp. 716–719.
DACDAC-2005-KajiharaFWMHS #process
Path delay test compaction with process variation tolerance (SK, MF, XW, TM, SH, YS), pp. 845–850.
DACDAC-2005-SrivastavaSASBD #correlation #estimation #parametricity #performance #power management
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance (AS, SS, KA, DS, DB, SWD), pp. 535–540.
DACDAC-2005-TopalogluO #approach #process
A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs (ROT, AO), pp. 851–856.
DACDAC-2005-TschanzBD
Variation-tolerant circuits: circuit solutions and techniques (JT, KAB, VD), pp. 762–763.
DATEDATE-2005-DattaBMBR #design #modelling #pipes and filters #process #statistics
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies (AD, SB, SM, NB, KR), pp. 926–931.
DATEDATE-2005-GhantaVPW #analysis #grid #power management #probability #process
Stochastic Power Grid Analysis Considering Process Variations (PG, SBKV, RP, JMW), pp. 964–969.
DATEDATE-2005-KumarLTW #multi #probability #process #statistics
A Probabilistic Collocation Method Based Statistical Gate Delay Model Considering Process Variations and Multiple Input Switching (YSK, JL, CT, JMW), pp. 770–775.
DATEDATE-2005-LeungTH #energy #scheduling
Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling (LFL, CYT, XSH), pp. 634–639.
DATEDATE-2005-MangassarianA #analysis #on the #statistics
On Statistical Timing Analysis with Inter- and Intra-Die Variations (HM, MA), pp. 132–137.
DATEDATE-2005-NeiroukhS #statistics #using
Improving the Process-Variation Tolerance of Digital Circuits Using Gate Sizing and Statistical Techniques (ON, XS), pp. 294–299.
DATEDATE-2005-XiongTH #process
Buffer Insertion Considering Process Variation (JX, KHT, LH), pp. 970–975.
DocEngDocEng-2005-VilaresGA #retrieval
Managing syntactic variation in text retrieval (JV, CGR, MAA), pp. 162–164.
ITiCSEITiCSE-2005-EckerdalT #concept #java
Novice Java programmers’ conceptions of “object” and “class”, and variation theory (AE, MT), pp. 89–93.
FASEFASE-2005-HammoudaHPK #using #variability
Managing Variability Using Heterogeneous Feature Variation Patterns (IH, JH, MP, KK), pp. 145–159.
ICMLICML-2005-ChengJSW #image #modelling
Variational Bayesian image modelling (LC, FJ, DS, SW), pp. 129–136.
MODELSMoDELS-2005-ChauvelJ #code generation #modelling #semantics #uml
Code Generation from UML Models with Semantic Variation Points (FC, JMJ), pp. 54–68.
MODELSMoDELS-2005-ChauvelJ #code generation #modelling #semantics #uml
Code Generation from UML Models with Semantic Variation Points (FC, JMJ), pp. 54–68.
SPLCSPLC-2005-MassenL #feature model #modelling
Determining the Variation Degree of Feature Models (TvdM, HL), pp. 82–88.
SPLCSPLC-2005-TessierGTG #modelling #product line #system family #using
Using Variation Propagation for Model-Driven Management of a System Family (PT, SG, FT, JMG), pp. 222–233.
DACDAC-2004-AgarwalSBLNV #analysis #metric
Variational delay metrics for interconnect timing analysis (KA, DS, DB, FL, SRN, SBKV), pp. 381–384.
DACDAC-2004-ChangHW
Re-synthesis for delay variation tolerance (SCC, CTH, KCW), pp. 814–819.
DACDAC-2004-ChoiPR #algorithm #novel #process
Novel sizing algorithm for yield improvement under process variation in nanometer technology (SHC, BCP, KR), pp. 454–459.
DACDAC-2004-GuptaH #towards
Toward a systematic-variation aware timing methodology (PG, FLH), pp. 321–326.
DACDAC-2004-KouroussisAN #power management #worst-case
Worst-case circuit delay taking into account power supply variations (DK, RA, FNN), pp. 652–657.
DACDAC-2004-RajVW #process
A methodology to improve timing yield in the presence of process variations (SR, SBKV, JMW), pp. 448–453.
DACDAC-2004-SrivastavaSB #optimisation #power management #process #statistics #using
Statistical optimization of leakage power considering process variations using dual-Vth and sizing (AS, DS, DB), pp. 773–778.
DATEDATE-v1-2004-ChenG #adaptation #bias #low cost #performance #power management #reduction
A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations (TWC, JG), pp. 240–245.
DATEDATE-v2-2004-FengZCZF #analysis #order #reduction
Direct Nonlinear Order Reduction with Variational Analysis (LF, XZ, CC, DZ, QF), pp. 1316–1321.
ITiCSEITiCSE-2004-ListerBMTW #data type #education
The dimensions of variation in the teaching of data structures (RL, IB, BBM, JDT, SW), pp. 92–96.
CHICHI-2004-TerryMNY #development
Variation in element and action: supporting simultaneous development of alternative solutions (MAT, EDM, KN, YY), pp. 711–718.
ICEISICEIS-v4-2004-HislopE #analysis #education #online
An Analysis of Variation in Teaching Effort Across Tasks in Online and Traditional Courses (GWH, HJCE), pp. 202–207.
ICMLICML-2004-BleiJ #process
Variational methods for the Dirichlet process (DMB, MIJ).
ICPRICPR-v1-2004-KusachiSIA #detection #image #recognition #robust
Kanji Recognition in Scene Images without Detection of Text Fields — Robust Against Variation of Viewpoint, Contrast, and Background Texture (YK, AS, NI, KA), pp. 457–460.
ICPRICPR-v1-2004-NasiosB #approach #image #segmentation
A Variational Approach for Color Image Segmentation (NN, AGB), pp. 680–683.
ICPRICPR-v1-2004-WeiL #recognition #robust
Robust Face Recognition under Lighting Variations (SDW, SHL), pp. 354–357.
ICPRICPR-v3-2004-JuszczakD
Selective Sampling Based on the Variation in Label Assignments (PJ, RPWD), pp. 375–378.
ICPRICPR-v4-2004-ChateauL #realtime
Real Time Tracking with Occlusion and Illumination Variations (TC, JTL), pp. 763–766.
DACDAC-2003-BorkarKNTKD #architecture #parametricity
Parameter variations and impact on circuits and microarchitecture (SB, TK, SN, JT, AK, VD), pp. 338–342.
DACDAC-2003-FerzliN #estimation #grid #power management #process #statistics
Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations (IAF, FNN), pp. 856–859.
SACSAC-2003-FuT
A Dynamic Load Distribution Strategy for Systems Under High Task Variation and Heavy Traffic (BF, ZT), pp. 1031–1037.
ICPRICPR-v1-2002-TengLCH #robust
Robust Computation of Optical Flow under Non-Uniform Illumination Variations (CHT, SHL, YSC, WHH), pp. 327–330.
ICPRICPR-v2-2002-EcabertT #bound #image #segmentation
Variational Image Segmentation by Unifying Region and Boundary Information (OE, JPT), pp. 885–888.
ICPRICPR-v2-2002-LacombeKAB #approach
A Variational Approach to One Dimensional Phase Unwrapping (CL, PK, GA, LBF), p. 810–?.
ICPRICPR-v4-2002-IshiyamaS #recognition
Geodesic Illumination Basis: Compensating for Illumination Variations in any Pose for Face Recognition (RI, SS), pp. 297–301.
SPLCSPLC-2002-Krueger
Variation Management for Software Production Lines (CWK), pp. 37–48.
SPLCSPLC-2002-OmmeringB #composition #product line
Widening the Scope of Software Product Lines — From Variation to Composition (RCvO, JB), pp. 328–347.
HPCAHPCA-2002-GrochowskiAT #architecture #power management #simulation
Microarchitectural Simulation and Control of di/dt-induced Power Supply Voltage Variation (EG, DA, VT), pp. 7–16.
DACDAC-2000-LiuNPS
Impact of interconnect variations on the clock skew of a gigahertz microprocessor (YL, SRN, LTP, AJS), pp. 168–171.
DACDAC-2000-MehrotraSBCVN #modelling #performance
A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance (VM, SLS, DSB, AC, RV, SRN), pp. 172–175.
DATEDATE-2000-DiezL #metric
Influence of Manufacturing Variations in IDDQ Measurements: A New Test Criterion (JMD, JCL), pp. 645–649.
ICMLICML-2000-Brand #optimisation
Finding Variational Structure in Data by Cross-Entropy Optimization (MB), pp. 95–102.
ICPRICPR-v1-2000-RomdhaniPG #scalability
A Generic Face Appearance Model of Shape and Texture under Very Large Pose Variations from Profile to Profile Views (SR, AP, SG), pp. 5060–5063.
ICPRICPR-v2-2000-FukushimaN #online #recognition
On-Line Writing-Box-Free Recognition of Handwritten Japanese Text Considering Character Size Variations (TF, MN), pp. 2359–2363.
ICPRICPR-v2-2000-RibertEL #clustering
Clustering Data: Dealing with High Density Variations (AR, AE, YL), pp. 2736–2739.
ICPRICPR-v3-2000-BorghysPA #detection #image #multi
A Multi-Variate Contour Detector for High-Resolution Polarimetric SAR Images (DB, CP, MA), pp. 3650–3655.
ICLPCL-2000-DemoenN
So Many WAM Variations, So Little Time (BD, PLN), pp. 1240–1254.
DACDAC-1999-LiuPS #analysis
Model Order-Reduction of RC(L) Interconnect Including Variational Analysis (YL, LTP, AJS), pp. 201–206.
DATEDATE-1999-LiuPF
Minimizing Sensitivity to Delay Variations in High-Performance Synchronous Circuits (XL, MCP, EGF), pp. 643–649.
ICDARICDAR-1999-KhanH #recognition #using
Recognition of Real-Life Character Samples using a Structural Variation and Degradation Model (NAK, HH), pp. 225–228.
HCIHCI-EI-1999-FostervoldL
Variation of sitting posture in work with VDU’s. The effect of downward gaze (KIF, IL), pp. 56–60.
ICPRICPR-1998-KimKA #feature model
Feature extraction of edge by directional computation of gray-scale variation (KCK, DYK, JKA), pp. 1022–1027.
ICPRICPR-1998-MottlBKKM #analysis #image
Variational methods in signal and image analysis (VM, AB, AK, AK, IBM), pp. 525–527.
SIGIRSIGIR-1998-Voorhees #effectiveness #metric #retrieval
Variations in Relevance Judgments and the Measurement of Retrieval Effectiveness (EMV), pp. 315–323.
ICDARICDAR-1997-Ah-SoonT #analysis #architecture
Variations on the Analysis of Architectural Drawing (CAS, KT), pp. 347–351.
ICDARICDAR-1997-MasakiKMNM #algorithm #online #recognition
An On-Line Handwriting Characters Recognition Algorithm RAV (Reparameterized Angle Variations) (SM, MK, OM, YN, TM), pp. 919–925.
HCIHCI-CC-1997-TakedaH #question
Does the Circadian Rhythm of VDT Operators Cause Fluctuations of CFF Value that to Mask Fatigue Variations During Work Load? (MT, YH), pp. 563–566.
DACDAC-1996-NevesF #process #scheduling
Optimal Clock Skew Scheduling Tolerant to Process Variations (JLN, EGF), pp. 623–628.
ICMLICML-1996-PerezR #concept #learning
Learning Despite Concept Variation by Finding Structure in Attribute-based Data (EP, LAR), pp. 391–399.
ICPRICPR-1996-KauppinenS #classification #fault
The effect of illumination variations on color-based wood defect classification (HK, OS), pp. 828–832.
ICPRICPR-1996-TanakaI #algorithm #modelling #principle #statistics
Statistical-mechanical algorithm in MRF model based on variational principle (KT, MI), pp. 381–388.
SACSAC-1996-ChungLLD #behaviour #comparison #using
A comparison of variational data assimilation and nudging using a simple dynamical system with chaotic behavior (WC, JML, SL, SKD), pp. 454–462.
ISSTAISSTA-1996-MorellM #analysis #testing #using
Using Perturbation Analysis to Measure Variation in the Information Content of Test Sets (LJM, BWM), pp. 92–97.
DACDAC-1995-XiD #power management #process
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
SACSAC-1995-HoeltingSW #approximate #problem
Approximation techniques for variations of the p-median problem (CJH, DAS, RLW), pp. 293–299.
DATEEDAC-1994-SivaramanS #analysis #parametricity #towards
Towards Incorporating Device Parameter Variations in Timing Analysis (MS, AJS), pp. 338–342.
HPDCHPDC-1994-WornerGHZ #grid #parallel #performance
Reducing Variations in Parallel Efficiency for Unstructured Grid Computations (BW, UG, MH, RZ), pp. 287–294.
CSEETSEI-1991-Knoke
Medium Size Project Model: Variations on a Theme (PJK), pp. 5–24.
ICALPICALP-1990-FlajoletSS #problem
Analytic Variations on the Common Subexpression Problem (PF, PS, JMS), pp. 220–234.
LISPLFP-1986-BlossH #analysis #strict
Variations on Strictness Analysis (AGB, PH), pp. 132–142.
ICALPICALP-1979-Heide #comparison #game studies #graph
A Comparison Between Two Variations of a Pebble Game on Graphs (FMadH), pp. 411–421.
DACDAC-1969-Chace #multi #representation
A network-variational basis for generalized computer representation of multifreedom, constrained, mechanical systems (MAC), pp. 169–178.
STOCSTOC-1969-Cook #automaton
Variations on Pushdown Machines (Detailed Abstract) (SAC), pp. 229–231.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.