1471 papers:
- DAC-2015-ChangHLW #analysis #encoding #functional #named
- TA-FTA: transition-aware functional timing analysis with a four-valued encoding (JCCC, RHMH, LYZL, CHPW), p. 6.
- DAC-2015-ChenC #architecture
- Routing-architecture-aware analytical placement for heterogeneous FPGAs (SYC, YWC), p. 6.
- DAC-2015-LiuLCLWH #named
- Vortex: variation-aware training for memristor X-bar (BL, HL, YC, XL, QW, TH), p. 6.
- DAC-2015-OuTC #self
- Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithography (HCO, KHT, YWC), p. 6.
- DAC-2015-OuTLWC
- Layout-dependent-effects-aware analytical analog placement (HCO, KHT, JYL, IPW, YWC), p. 6.
- DAC-2015-ShreejithF #embedded #generative #network #security
- Security aware network controllers for next generation automotive embedded systems (SS, SAF), p. 6.
- DAC-2015-SuC #complexity
- Nanowire-aware routing considering high cut mask complexity (YHS, YWC), p. 6.
- DAC-2015-TatsuokaWOHZOLT #design #synthesis
- Physically aware high level synthesis design flow (MT, RW, TO, TH, QZ, RO, XL, TT), p. 6.
- DAC-2015-TsengLHS #synthesis
- Reliability-aware synthesis for flow-based microfluidic biochips by dynamic-device mapping (TMT, BL, TYH, US), p. 6.
- DAC-2015-VatanparvarF
- Battery lifetime-aware automotive climate control for electric vehicles (KV, MAAF), p. 6.
- DAC-2015-WangHWLL #assembly #memory management #named
- RADAR: a case for retention-aware DRAM assembly and repair in future FGR DRAM memory (YW, YH, CW, HL, XL), p. 6.
- DAC-2015-XieZPHLX #energy
- Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor (MX, MZ, CP, JH, YL, CJX), p. 6.
- DAC-2015-YangTJ #analysis
- Criticality-dependency-aware timing characterization and analysis (YMY, KHT, IHRJ), p. 6.
- DAC-2015-YunPB #adaptation #named #parallel #runtime #self #thread
- HARS: a heterogeneity-aware runtime system for self-adaptive multithreaded applications (JY, JP, WB), p. 6.
- DAC-2015-ZhangLSLWXY #energy #migration #scheduling
- Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration (DZ, YL, XS, JL, TW, CJX, HY), p. 6.
- DAC-2015-ZiegenbeinH #design
- Timing-aware control software design for automotive systems (DZ, AH), p. 6.
- DAC-2015-ZolotovF #integer #linear #programming
- Variation aware cross-talk aggressor alignment by mixed integer linear programming (VZ, PF), p. 6.
- DATE-2015-0001CY #approach #optimisation #process #robust
- A robust approach for process variation aware mask optimization (JK, WKC, EFYY), pp. 1591–1594.
- DATE-2015-AfacanBPDB #hybrid #monte carlo
- A hybrid Quasi Monte Carlo method for yield aware analog circuit sizing tool (EA, GB, AEP, GD, IFB), pp. 1225–1228.
- DATE-2015-ArumugamSAPUBPY #algorithm #co-evolution #design #energy #memory management #novel #performance
- Novel inexact memory aware algorithm co-design for energy efficient computation: algorithmic principles (GPA, PS, JA, KVP, EU, AB, P, SY), pp. 752–757.
- DATE-2015-ChenYQFM #evaluation #model checking #scheduling #statistics #using
- Variation-aware evaluation of MPSoC task allocation and scheduling strategies using statistical model checking (MC, DY, XQ, XF, PM), pp. 199–204.
- DATE-2015-ConficoniBTTB #energy
- Energy-aware cooling for hot-water cooled supercomputers (CC, AB, AT, GT, LB), pp. 1353–1358.
- DATE-2015-EgilmezMME #smarttech
- User-specific skin temperature-aware DVFS for smartphones (BE, GM, SOM, OE), pp. 1217–1220.
- DATE-2015-HaghbayanRFLPNT #manycore #online #power management #testing
- Power-aware online testing of manycore systems in the dark silicon era (MHH, AMR, MF, PL, JP, ZN, HT), pp. 435–440.
- DATE-2015-KamalIAP #algorithm
- A thermal stress-aware algorithm for power and temperature management of MPSoCs (MK, AI, AAK, MP), pp. 954–959.
- DATE-2015-KapadiaP #adaptation #named #parallel #scheduling
- VARSHA: variation and reliability-aware application scheduling with adaptive parallelism in the dark-silicon era (NAK, SP), pp. 1060–1065.
- DATE-2015-KhosraviMGT #analysis #optimisation #reliability
- Uncertainty-aware reliability analysis and optimization (FK, MM, MG, JT), pp. 97–102.
- DATE-2015-LeeCSP #3d
- A TSV noise-aware 3-D placer (YML, CC, JS, KTP), pp. 1653–1658.
- DATE-2015-LiFBLON #design
- Thermal aware design method for VCSEL-based on-chip optical interconnect (HL, AF, SLB, XL, IO, GN), pp. 1120–1125.
- DATE-2015-LiJHWCGLKW #design #optimisation #using
- Variation-aware, reliability-emphasized design and optimization of RRAM using SPICE model (HL, ZJ, PH, YW, HYC, BG, XYL, JFK, HSPW), pp. 1425–1430.
- DATE-2015-LiuHDCPKKTR
- Clock domain crossing aware sequential clock gating (JL, MSH, KTD, JYC, JP, MK, MK, NT, AR), pp. 1–6.
- DATE-2015-LiuLY #approach #effectiveness
- An effective triple patterning aware grid-based detailed routing approach (ZL, CL, EFYY), pp. 1641–1646.
- DATE-2015-LourencoMH #using
- Layout-aware sizing of analog ICs using floorplan & routing estimates for parasitic extraction (NCL, RM, NH), pp. 1156–1161.
- DATE-2015-MacherSBAK #analysis #named
- SAHARA: a security-aware hazard and risk analysis method (GM, HS, RB, EA, CK), pp. 621–624.
- DATE-2015-MavropoulosKN #architecture #configuration management
- A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
- DATE-2015-PaganoVRCSS #configuration management
- Thermal-aware floorplanning for partially-reconfigurable FPGA-based systems (DP, MV, MR, RC, DS, MDS), pp. 920–923.
- DATE-2015-ParkTNII #performance
- Platform-aware dynamic configuration support for efficient text processing on heterogeneous system (MSP, OT, VN, MJI, RI), pp. 1503–1508.
- DATE-2015-SarmaDGVN #paradigm #self
- Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation (SS, NDD, PG, NV, AN), pp. 625–628.
- DATE-2015-ShafiqueGGH #manycore #variability
- Variability-aware dark silicon management in on-chip many-core systems (MS, DG, SG, JH), pp. 387–392.
- DATE-2015-TouatiBDGVBR #functional #power management #source code #testing
- Exploring the impact of functional test programs re-used for power-aware testing (AT, AB, LD, PG, AV, PB, MSR), pp. 1277–1280.
- DATE-2015-VenkataramaniBH #named
- SAPPHIRE: an always-on context-aware computer vision system for portable devices (SV, VB, XSH, JL, JL, MP, BP, MS), pp. 1491–1496.
- DATE-2015-ZhangPJLF #fault #self
- Temperature-aware software-based self-testing for delay faults (YZ, ZP, JJ, HL, MF), pp. 423–428.
- DATE-2015-ZhuCPP #manycore #named
- TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
- HT-2015-Orellana-Rodriguez #mining #recommendation
- Mining Affective Context in Short Films for Emotion-Aware Recommendation (COR, EDA, WN), pp. 185–194.
- SIGMOD-2015-ChenC
- Diversity-Aware Top-k Publish/Subscribe for Text Stream (LC, GC), pp. 347–362.
- SIGMOD-2015-DugganPBS #array #database #optimisation
- Skew-Aware Join Optimization for Array Databases (JD, OP, LB, MS), pp. 123–135.
- SIGMOD-2015-GuoZLTB #query
- Location-Aware Pub/Sub System: When Continuous Moving Queries Meet Dynamic Event Streams (LG, DZ, GL, KLT, ZB), pp. 843–857.
- SIGMOD-2015-PapailiouTKK #adaptation #query
- Graph-Aware, Workload-Adaptive SPARQL Query Caching (NP, DT, PK, NK), pp. 1777–1792.
- SIGMOD-2015-SheT0 #social
- Utility-Aware Social Event-Participant Planning (JS, YT, LC), pp. 1629–1643.
- SIGMOD-2015-ZamanianBS #clustering #database #parallel
- Locality-aware Partitioning in Parallel Database Systems (EZ, CB, AS), pp. 17–30.
- SIGMOD-2015-ZhengWLCF #crowdsourcing #named
- QASCA: A Quality-Aware Task Assignment System for Crowdsourcing Applications (YZ, JW, GL, RC, JF), pp. 1031–1046.
- VLDB-2015-AlyAMAHEO #adaptation #clustering
- A Demonstration of AQWA: Adaptive Query-Workload-Aware Partitioning of Big Spatial Data (AMA, ASA, ARM, WGA, MSH, HE, MO), pp. 1968–1979.
- VLDB-2015-AlyMHAOEQ #adaptation #clustering #named
- AQWA: Adaptive Query-Workload-Aware Partitioning of Big Spatial Data (AMA, ARM, MSH, WGA, MO, HE, TQ), pp. 2062–2073.
- VLDB-2015-ChenFLFTT #online #topic
- Online Topic-Aware Influence Maximization (SC, JF, GL, JF, KLT, JT), pp. 666–677.
- VLDB-2015-PsaroudakisSMSA #adaptation #concurrent #in memory #scalability #towards
- Scaling Up Concurrent Main-Memory Column-Store Scans: Towards Adaptive NUMA-aware Data and Task Placement (IP, TS, NM, AS, AA), pp. 1442–1453.
- VLDB-2015-ZhangC0 #approach #distributed #graph #set
- Bonding Vertex Sets Over Distributed Graph: A Betweenness Aware Approach (XZ, HC, LC), pp. 1418–1429.
- MSR-2015-MouraPEC #commit #energy #mining
- Mining Energy-Aware Commits (IM, GP, FE, FC), pp. 56–67.
- SANER-2015-Erfani #metamodelling #ontology #towards
- Towards an ontology-based context-aware meta-model for the software domain (ME), pp. 605–606.
- SANER-2015-PalixFL #algorithm #difference
- Improving pattern tracking with a language-aware tree differencing algorithm (NP, JRF, JL), pp. 43–52.
- SCAM-2015-FenskeSMS #detection #smell #variability
- When code smells twice as much: Metric-based detection of variability-aware code smells (WF, SS, DM, GS), pp. 171–180.
- FM-2015-DeboisHS #composition #information management #liveness #process #refinement #runtime #safety
- Safety, Liveness and Run-Time Refinement for Modular Process-Aware Information Systems with Dynamic Sub Processes (SD, TTH, TS), pp. 143–160.
- CHI-2015-JainFGHDZVF #artificial reality #visualisation
- Head-Mounted Display Visualizations to Support Sound Awareness for the Deaf and Hard of Hearing (DJ, LF, JG, BH, RD, DNZ, CV, JEF), pp. 241–250.
- CHI-2015-MayerHJ #challenge #concept #design #social
- Making Social Matching Context-Aware: Design Concepts and Open Challenges (JMM, SRH, QJ), pp. 545–554.
- CHI-2015-NebelingGSN #multi #named
- MUBox: Multi-User Aware Personal Cloud Storage (MN, MG, OS, MCN), pp. 1855–1864.
- CHI-2015-RadleJSLRR #elicitation #evaluation #interactive
- Spatially-aware or Spatially-agnostic?: Elicitation and Evaluation of User-Defined Cross-Device Interactions (RR, HCJ, MS, ZL, HR, YR), pp. 3913–3922.
- CHI-2015-SamsonovTSKH #towards
- You Can’t Smoke Here: Towards Support for Space Usage Rules in Location-aware Technologies (PAS, XT, JS, WK, BH), pp. 971–974.
- CHI-2015-SuzukiSI #editing #named #video
- AnnoTone: Record-time Audio Watermarking for Context-aware Video Editing (RS, DS, TI), pp. 57–66.
- CHI-2015-XuFTI #named
- GACA: Group-Aware Command-based Arrangement of Graphic Elements (PX, HF, CLT, TI), pp. 2787–2795.
- CSCW-2015-HuangT0 #mobile #social
- Emotion Map: A Location-based Mobile Social System for Improving Emotion Awareness and Regulation (YH, YT, YW), pp. 130–142.
- CSCW-2015-KusunokiS #design
- Designing for Temporal Awareness: The Role of Temporality in Time-Critical Medical Teamwork (DSK, AS), pp. 1465–1476.
- CSCW-2015-PinelleG #performance
- The Effects of View Portals on Performance and Awareness in Co-Located Tabletop Groupware (DP, CG), pp. 195–206.
- CSCW-2015-ProstMT #design #energy #towards #using
- From Awareness to Empowerment: Using Design Fiction to Explore Paths towards a Sustainable Energy Future (SP, EEM, MT), pp. 1649–1658.
- HCI-IT-2015-CravenSGNSY #game studies #video
- Evaluating a Public Display Installation with Game and Video to Raise Awareness of Attention Deficit Hyperactivity Disorder (MPC, LS, AG, SN, HS, ZY), pp. 584–595.
- HCI-IT-2015-KromkerW #elicitation
- Context Elicitation for User-Centered Context-Aware Systems in Public Transport (HK, TW), pp. 429–439.
- HIMI-IKC-2015-PereiraSBSCFC #multi
- Multi-criteria Fusion of Heterogeneous Information for Improving Situation Awareness on Emergency Management Systems (VAPJ, MFS, LCB, JOdS, CSC, EF, MRdC), pp. 3–14.
- HIMI-IKC-2015-SouzaBSBCA #concept #framework
- Conceptual Framework to Enrich Situation Awareness of Emergency Dispatchers (JOdS, LCB, JESS, CBB, MRdC, RBdA), pp. 33–44.
- HIMI-IKD-2015-ElliottMHZM #navigation
- Augmenting Soldier Situation Awareness and Navigation Through Tactile Cueing (LRE, BJPM, GHP, GAZ, GRM), pp. 345–353.
- HIMI-IKD-2015-LawsonBTKHR #development
- Human Factors to Consider During the Early Development and Dissemination of New Displays to Improve Spatial Orientation and Situation Awareness (BDL, JCB, LBIT, AMK, CRH, AHR), pp. 412–424.
- HIMI-IKD-2015-OliveiraBFC #framework #nondeterminism #visualisation
- Uncertainty Visualization Framework for Improving Situational Awareness in Emergency Management Systems (NFO, LCB, LCF, MRdC), pp. 86–96.
- LCT-2015-KlemkeKLS #education #game studies #learning #mobile #multi
- Transferring an Educational Board Game to a Multi-user Mobile Learning Game to Increase Shared Situational Awareness (RK, SK, HL, MS), pp. 583–594.
- LCT-2015-SalazarOD #adaptation #education #mobile #multi #personalisation #ubiquitous #using
- Adaptive and Personalized Educational Ubiquitous Multi-Agent System Using Context-Awareness Services and Mobile Devices (OMS, DAO, NDD), pp. 301–312.
- LCT-2015-SatohAYYEH #collaboration #self #tablet
- Collaborative Tablet PC the System for Self-Active Awareness in a Dormitory Environment (HS, SA, MY, TY, FE, YH), pp. 503–509.
- ICEIS-v2-2015-CostaMAO #automation #consistency #detection #named #nondeterminism
- Fixture — A Tool for Automatic Inconsistencies Detection in Context-aware SPL (PAdSC, FGM, RMdCA, TO), pp. 114–125.
- ICEIS-v2-2015-EttaziHNE #adaptation #approach #towards #transaction
- Towards a Context-Aware Adaptation Approach for Transactional Services (WE, HH, MN, SE), pp. 553–562.
- ICEIS-v2-2015-FissaaGHN #composition #how #question #semantics
- How Can Semantics and Context Awareness Enhance the Composition of Context-aware Services? (TF, HG, HH, MN), pp. 640–647.
- ICEIS-v2-2015-KriouileMA #as a service #configuration management #towards
- Towards a High Configurable SaaS — To Deploy and Bind Auser-aware Tenancy of the SaaS (HK, ZM, BEA), pp. 674–679.
- ECIR-2015-HarveyC #exclamation #hashtag #personalisation #twitter
- Long Time, No Tweets! Time-aware Personalised Hashtag Suggestion (MH, FC), pp. 581–592.
- ECIR-2015-SchlottererSLG #mobile #retrieval
- From Context-Aware to Context-Based: Mobile Just-In-Time Retrieval of Cultural Heritage Objects (JS, CS, WL, MG), pp. 805–808.
- ICML-2015-XuRYLJ
- Deep Edge-Aware Filters (LX, JR, QY, RL, JJ), pp. 1669–1678.
- MLDM-2015-AkbariniaM #probability #streaming
- Aggregation-Aware Compression of Probabilistic Streaming Time Series (RA, FM), pp. 232–247.
- RecSys-2015-GeRM #recommendation
- Health-aware Food Recommender System (MG, FR, DM), pp. 333–334.
- RecSys-2015-Hidasi #modelling
- Context-aware Preference Modeling with Factorization (BH), pp. 371–374.
- RecSys-2015-MacedoMS #network #recommendation #social
- Context-Aware Event Recommendation in Event-based Social Networks (AQdM, LBM, RLTS), pp. 123–130.
- RecSys-2015-Unger #recommendation
- Latent Context-Aware Recommender Systems (MU), pp. 383–386.
- SEKE-2015-LiuXC #learning #recommendation
- Context-aware Recommendation System with Anonymous User Profile Learning (YL, YX, MC), pp. 93–98.
- SIGIR-2015-AzarbonyadDMK
- Time-Aware Authorship Attribution for Short Text Streams (HA, MD, MM, JK), pp. 727–730.
- SIGIR-2015-BakkalAT #cost analysis
- Cost-Aware Result Caching for Meta-Search Engines (EB, ISA, IHT), pp. 739–742.
- SIGIR-2015-ChengS #music #named #recommendation
- VenueMusic: A Venue-Aware Music Recommender System (ZC, JS), pp. 1029–1030.
- SIGIR-2015-Drutsa #evaluation #metric #online #quality
- Sign-Aware Periodicity Metrics of User Engagement for Online Search Quality Evaluation (AD), pp. 779–782.
- SIGIR-2015-GrbovicDRSB #query
- Context- and Content-aware Embeddings for Query Rewriting in Sponsored Search (MG, ND, VR, FS, NB), pp. 383–392.
- SIGIR-2015-LiuW #collaboration #learning
- Learning Context-aware Latent Representations for Context-aware Collaborative Filtering (XL, WW), pp. 887–890.
- SIGIR-2015-NguyenKNZ #documentation #random #web
- A Time-aware Random Walk Model for Finding Important Documents in Web Archives (TNN, NK, CN, XZ), pp. 915–918.
- SIGIR-2015-QuezadaPP #social #social media
- Location-Aware Model for News Events in Social Media (MQ, VPA, BP), pp. 935–938.
- SIGIR-2015-SpirinKKSI #metric #optimisation #quality #tuple
- Relevance-aware Filtering of Tuples Sorted by an Attribute Value via Direct Optimization of Search Quality Metrics (NVS, MK, JK, YVS, PAI), pp. 979–982.
- SIGIR-2015-YangKML #modelling #parametricity #sentiment #topic
- Parametric and Non-parametric User-aware Sentiment Topic Models (ZY, AK, AM, SL), pp. 413–422.
- SIGIR-2015-YaoSQWSH #recommendation #social #using
- Context-aware Point-of-Interest Recommendation Using Tensor Factorization with Social Regularization (LY, QZS, YQ, XW, AS, QH), pp. 1007–1010.
- ECMFA-2015-BerardinelliMPP #analysis #design #energy
- Energy Consumption Analysis and Design of Energy-Aware WSN Agents in fUML (LB, ADM, SP, LP, WT), pp. 1–17.
- GPCE-2015-SeidlSS #design pattern #development #generative #product line #using #variability
- Generative software product line development using variability-aware design patterns (CS, SS, IS), pp. 151–160.
- SAC-2015-DuarteBGCT #behaviour #generative #towards
- Towards context-aware behaviour generation (PAdSD, FMB, FAdAG, WVdC, FAMT), pp. 596–598.
- SAC-2015-IqbalKK #analysis #sentiment
- Bias-aware lexicon-based sentiment analysis (MI, AK, FK), pp. 845–850.
- SAC-2015-JamhourPPSB #network
- Interference aware channel assignment for structured wireless sensor networks (EJ, MEP, MCP, RDS, GGdOB), pp. 716–719.
- SAC-2015-NaqviMRPHB #artificial reality #deployment #mobile
- To cloud or not to cloud: a context-aware deployment perspective of augmented reality mobile applications (NZN, KM, AR, DP, DH, YB), pp. 555–562.
- SAC-2015-NascimentoPM #algorithm #machine learning #metaheuristic
- A data quality-aware cloud service based on metaheuristic and machine learning provisioning algorithms (DCN, CESP, DGM), pp. 1696–1703.
- SAC-2015-OjameruayeB #analysis #framework
- A risk — aware framework for compliance goal-obstacle analysis (BO, RB), pp. 1401–1402.
- SAC-2015-YangCHCLK #performance
- Reliability-aware striping with minimized performance overheads for flash-based storage devices (MCY, YMC, PCH, YHC, LJL, TWK), pp. 1906–1912.
- ESEC-FSE-2015-BorgesFdP #execution #probability #symbolic computation
- Iterative distribution-aware sampling for probabilistic symbolic execution (MB, AF, Md, CSP), pp. 866–877.
- ESEC-FSE-2015-LeiteTF #commit #named
- UEDashboard: awareness of unusual events in commit histories (LL, CT, FMFF), pp. 978–981.
- ESEC-FSE-2015-NguyenNDKN #detection #execution #semantics #variability
- Detecting semantic merge conflicts with variability-aware execution (HVN, MHN, SCD, CK, TNN), pp. 926–929.
- ICSE-v1-2015-LiebigJGAL #named #refactoring #variability
- Morpheus: Variability-Aware Refactoring in the Wild (JL, AJ, FG, SA, CL), pp. 380–391.
- ICSE-v2-2015-AbreuEP #fine-grained #monitoring #named
- CodeAware: Sensor-Based Fine-Grained Monitoring and Management of Software Artifacts (RA, HE, AP), pp. 551–554.
- ICSE-v2-2015-BarakiGVHKMZ #design pattern
- Interdisciplinary Design Patterns for Socially Aware Computing (HB, KG, CV, AH, RK, BEM, JZ), pp. 477–486.
- ICSE-v2-2015-LegunsenMR #programming
- Evolution-Aware Monitoring-Oriented Programming (OL, DM, GR), pp. 615–618.
- ICSE-v2-2015-TsigkanosPGN #adaptation #cyber-physical #named #security
- Ariadne: Topology Aware Adaptive Security for Cyber-Physical Systems (CT, LP, CG, BN), pp. 729–732.
- SPLC-2015-ValovGC #comparison #empirical #performance #predict #variability
- Empirical comparison of regression methods for variability-aware performance prediction (PV, JG, KC), pp. 186–190.
- ASPLOS-2015-GoiriNB #for free #named
- CoolAir: Temperature- and Variation-Aware Management for Free-Cooled Datacenters (IG, TDN, RB), pp. 253–265.
- CGO-2015-ShresthaGMMF #concurrent #locality
- Locality aware concurrent start for stencil applications (SS, GRG, JM, AM, JF), pp. 157–166.
- HPCA-2015-MaddahSM #named #optimisation #symmetry
- CAFO: Cost aware flip optimization for asymmetric memories (RM, SMS, RGM), pp. 320–330.
- HPCA-2015-OzsoyDGAP #detection #framework #online #performance
- Malware-aware processors: A framework for efficient online malware detection (MO, CD, IG, NBAG, DVP), pp. 651–661.
- HPDC-2015-RamosH #optimisation
- Cache Line Aware Optimizations for ccNUMA Systems (SR, TH), pp. 85–88.
- HPDC-2015-ZhouLLPZ #abstraction #graph #parallel #performance
- Fast Iterative Graph Computation with Resource Aware Graph Parallel Abstractions (YZ, LL, KL, CP, QZ), pp. 179–190.
- ISMM-2015-CohenP #data type #garbage collection
- Data structure aware garbage collector (NC, EP), pp. 28–40.
- LCTES-2015-BardizbanyanSWL #data access #performance #using
- Improving Data Access Efficiency by Using Context-Aware Loads and Stores (AB, MS, DBW, PLE), p. 10.
- LCTES-2015-BarijoughHKG #analysis #streaming #trade-off
- Implementation-Aware Model Analysis: The Case of Buffer-Throughput Tradeoff in Streaming Applications (KMB, MH, VK, SG), p. 10.
- LCTES-2015-ZhengW
- WCET-Aware Dynamic D-cache Locking for A Single Task (WZ, HW), p. 10.
- PPoPP-2015-AlSaberK #multi #performance #semantics
- SemCache++: semantics-aware caching for efficient multi-GPU offloading (NA, MK), pp. 255–256.
- PPoPP-2015-VassiliadisPCALBVN #energy #programming #runtime
- A programming model and runtime system for significance-aware energy-efficient computing (VV, KP, CC, CDA, SL, NB, HV, DSN), pp. 275–276.
- PPoPP-2015-ZhangCC
- NUMA-aware graph-structured analytics (KZ, RC, HC), pp. 183–193.
- CAV-2015-Tiwari #abstraction
- Time-Aware Abstractions in HybridSal (AT), pp. 504–510.
- ICLP-2015-CruzRG #data-driven #logic programming #parallel #source code #thread
- Thread-Aware Logic Programming for Data-Driven Parallel Programs (FC, RR, SCG).
- WICSA-2014-FaniyiLBY #architecture #self
- Architecting Self-Aware Software Systems (FF, PRL, RB, XY), pp. 91–94.
- WICSA-2014-KrkaM #component
- Component-Aware Triggered Scenarios (IK, NM), pp. 129–138.
- ASE-2014-Angerer #impact analysis #multi #product line #variability
- Variability-aware change impact analysis of multi-language product lines (FA), pp. 903–906.
- ASE-2014-BajajPM #code completion #javascript #named
- Dompletion: DOM-aware JavaScript code completion (KB, KP, AM), pp. 43–54.
- ASE-2014-OhmannHFHPBB #behaviour #model inference #resource management
- Behavioral resource-aware model inference (TO, MH, SF, AH, MP, IB, YB), pp. 19–30.
- ASE-2014-UbayashiALLHK #compilation #verification
- Abstraction-aware verifying compiler for yet another MDD (NU, DA, PL, YNL, SH, YK), pp. 557–562.
- CASE-2014-FeyzabadiC #markov #process #using
- Risk-aware path planning using hirerachical constrained Markov Decision Processes (SF, SC), pp. 297–303.
- DAC-2014-ChenHCCW #metaprogramming
- Routability-Driven Blockage-Aware Macro Placement (YFC, CCH, CHC, YWC, CJW), p. 6.
- DAC-2014-ChenT #control flow #design
- Reliability-aware Register Binding for Control-Flow Intensive Designs (LC, MBT), p. 6.
- DAC-2014-DoustiP #deployment #power management
- Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers (MJD, MP), p. 6.
- DAC-2014-ElbayoumiCKSHE #algorithm #named #parallel #synthesis
- TACUE: A Timing-Aware Cuts Enumeration Algorithm for Parallel Synthesis (ME, MC, VNK, AS, MSH, MYE), p. 6.
- DAC-2014-FattahPLPT #manycore #named #runtime
- SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems (MF, MP, PL, JP, HT), p. 6.
- DAC-2014-GaoXYP #named #optimisation #process
- MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction (JRG, XX, BY, DZP), p. 6.
- DAC-2014-HamzehSV
- Branch-Aware Loop Mapping on CGRAs (MH, AS, SBKV), p. 6.
- DAC-2014-HeisswolfZZKWTHSHB #communication #named #programming
- CAP: Communication Aware Programming (JH, AZ, AZ, SK, AW, JT, JH, GS, AH, JB), p. 6.
- DAC-2014-KleebergerMS #analysis
- Workload- and Instruction-Aware Timing Analysis: The missing Link between Technology and System-level Resilience (VK, PRM, US), p. 6.
- DAC-2014-KozhikkottuPPDR #clustering #parallel #source code #thread
- Variation Aware Cache Partitioning for Multithreaded Programs (VJK, AP, VSP, SD, AR), p. 6.
- DAC-2014-LinHL
- Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors in Charge-scaling DAC (MPHL, VWHH, CYL), p. 6.
- DAC-2014-LiuCCJ
- Simultaneous EUV Flare Variation Minimization and CMP Control with Coupling-Aware Dummification (CYL, HJKC, YWC, JHRJ), p. 6.
- DAC-2014-LiuFC #process #self #using
- Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process (IJL, SYF, YWC), p. 6.
- DAC-2014-NandakumarM #analysis
- System-Level Floorplan-Aware Analysis of Integrated CPU-GPUs (VSN, MMS), p. 6.
- DAC-2014-ParikhDB #configuration management #power management
- Power-Aware NoCs through Routing and Topology Reconfiguration (RP, RD, VB), p. 6.
- DAC-2014-PopovychLWLLW
- Density-aware Detailed Placement with Instant Legalization (SP, HHL, CMW, YLL, WHL, TCW), p. 6.
- DAC-2014-QiuLX #performance #power management
- Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
- DAC-2014-ShinMP #modelling #statistics
- Statistical Battery Models and Variation-Aware Battery Management (DS, EM, MP), p. 6.
- DAC-2014-TsaiCYYHCCC #energy #using
- Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store Elimination (HJT, CCC, KHY, TCY, LYH, CHC, MFC, TFC), p. 6.
- DATE-2014-AguileraLFMSK #algorithm #clustering #multi #process
- Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking (PA, JL, AFF, KM, MJS, NSK), pp. 1–6.
- DATE-2014-BanagaayaAST #network #order #reduction
- Implicit index-aware model order reduction for RLC/RC networks (NB, GA, WHAS, CT), pp. 1–6.
- DATE-2014-CasamassimaFB #network #power management
- Context aware power management for motion-sensing body area network nodes (FC, EF, LB), pp. 1–6.
- DATE-2014-ChienPWWLWW #cost analysis
- Mask-cost-aware ECO routing∗ (HAC, ZYP, YRW, THW, HCL, CFW, TCW), pp. 1–4.
- DATE-2014-ChienYHLC #analysis #geometry #image
- Package geometric aware thermal analysis by infrared-radiation thermal images (JHC, HY, RSH, HJL, SCC), pp. 1–4.
- DATE-2014-DasKV #energy #multi #trade-off
- Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs (AD, AK, BV), pp. 1–6.
- DATE-2014-DweikAD #array #exception #fault
- Reliability-Aware Exceptions: Tolerating intermittent faults in microprocessor array structures (WD, MA, MD), pp. 1–6.
- DATE-2014-GaoGWP #energy #fault #fault tolerance #framework #in the cloud #scheduling
- An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems (YG, SKG, YW, MP), pp. 1–6.
- DATE-2014-HanZLD #named #scheduling
- SAFE: Security-Aware FlexRay Scheduling Engine (GH, HZ, YL, WD), pp. 1–4.
- DATE-2014-JerkeK #case study #design
- Mission profile aware IC design — A case study (GJ, ABK), pp. 1–6.
- DATE-2014-KangYKBHT #manycore #optimisation
- Reliability-aware mapping optimization of multi-core systems with mixed-criticality (SHK, HY, SK, IB, SH, LT), pp. 1–4.
- DATE-2014-KiamehrFET #design #library #standard
- Aging-aware standard cell library design (SK, FF, ME, MBT), pp. 1–4.
- DATE-2014-KimKGH #energy #performance
- Utilization-aware load balancing for the energy efficient operation of the big.LITTLE processor (MK, KK, JRG, SH), pp. 1–4.
- DATE-2014-LiHCXJX #embedded #memory management #stack
- A wear-leveling-aware dynamic stack for PCM memory in embedded systems (QL, YH, YC, CJX, NJ, CX), pp. 1–4.
- DATE-2014-NirmaierBHVBRP #assessment #robust
- Mission profile aware robustness assessment of automotive power devices (TN, AB, MH, AV, OB, WR, GP), pp. 1–6.
- DATE-2014-PandaB #concurrent #thread
- Introducing Thread Criticality awareness in Prefetcher Aggressiveness Control (BP, SB), pp. 1–6.
- DATE-2014-PaternaZR #component #mobile
- Ambient variation-tolerant and inter components aware thermal management for mobile system on chips (FP, JZ, TSR), pp. 1–6.
- DATE-2014-Sadri0WWB #3d #energy #optimisation #using
- Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh (MS, MJ, CW, NW, LB), pp. 1–4.
- DATE-2014-SchlichtmannKAEGGHNW #abstraction #design
- Connecting different worlds — Technology abstraction for reliability-aware design and Test (US, VK, JAA, AE, CGD, MG, AH, SRN, NW), pp. 1–8.
- DATE-2014-ShenCQ #mobile #probability
- Battery aware stochastic QoS boosting in mobile computing devices (HS, QC, QQ), pp. 1–4.
- DATE-2014-ShenQ #quality #scalability
- Contention aware frequency scaling on CMPs with guaranteed quality of service (HS, QQ), pp. 1–6.
- DATE-2014-SilvaLCH #multi
- Electromigration-aware and IR-Drop avoidance routing in analog multiport terminal structures (RMAeS, NCL, AC, NH), pp. 1–6.
- DATE-2014-TsaiCCC #3d #configuration management #memory management #multi
- Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs (MLT, YJC, YTC, RHC), pp. 1–6.
- DATE-2014-WangLS #named #parallel #semantics
- p-OFTL: An object-based semantic-aware parallel flash translation layer (WW, YL, JS), pp. 1–6.
- DATE-2014-YehHN #power management
- Leakage-power-aware clock period minimization (HHY, SHH, YTN), pp. 1–6.
- DATE-2014-YuSH #adaptation #scalability
- Thermal-aware frequency scaling for adaptive workloads on heterogeneous MPSoCs (HY, RS, YH), pp. 1–6.
- DATE-2014-ZhangWSX #clustering
- Lifetime holes aware register allocation for clustered VLIW processors (XZ, HW, HS, JX), pp. 1–4.
- SIGMOD-2014-GhodsniaBN #optimisation #parallel #query
- Parallel I/O aware query optimization (PG, ITB, AN), pp. 349–360.
- SIGMOD-2014-KieferKSHML #in memory #multi
- ERIS live: a NUMA-aware in-memory storage engine for tera-scale multiprocessor systems (TK, TK, BS, DH, DM, WL), pp. 689–692.
- SIGMOD-2014-LeisBK0 #evaluation #framework #manycore #parallel #query
- Morsel-driven parallelism: a NUMA-aware query evaluation framework for the many-core age (VL, PAB, AK, TN), pp. 743–754.
- SIGMOD-2014-LiCFTL #performance
- Efficient location-aware influence maximization (GL, SC, JF, KLT, WSL), pp. 87–98.
- SIGMOD-2014-YinCCHH #behaviour #modelling #social #social media
- A temporal context-aware model for user behavior modeling in social media systems (HY, BC, LC, ZH, ZH), pp. 1543–1554.
- VLDB-2014-GuarnieriB #query
- Optimal Security-Aware Query Processing (MG, DAB), pp. 1307–1318.
- VLDB-2014-LiHMW #algorithm #difference #privacy #query
- A Data- and Workload-Aware Query Answering Algorithm for Range Queries Under Differential Privacy (CL, MH, GM, YW), pp. 341–352.
- VLDB-2014-WuWHN #execution #nondeterminism #predict #query
- Uncertainty Aware Query Execution Time Prediction (WW, XW, HH, JFN), pp. 1857–1868.
- VLDB-2015-AlexeRT14 #integration
- Preference-aware Integration of Temporal Data (BA, MR, WCT), pp. 365–376.
- VLDB-2015-HuangSQ14 #transaction
- NVRAM-aware Logging in Transaction Systems (JH, KS, MKQ), pp. 389–400.
- VLDB-2015-LiLGSZDFH14 #approach
- A Confidence-Aware Approach for Truth Discovery on Long-Tail Data (QL, YL, JG, LS, BZ, MD, WF, JH), pp. 425–436.
- CSMR-WCRE-2014-RahmanYR #exception #fault #ide #programming #recommendation #towards
- Towards a context-aware IDE-based meta search engine for recommendation about programming errors and exceptions (MMR, SY, CKR), pp. 194–203.
- ICPC-2014-TianZLFZY #detection #parallel #thread
- Plagiarism detection for multithreaded software based on thread-aware software birthmarks (ZT, QZ, TL, MF, XZ, ZY), pp. 304–313.
- ICSME-2014-RahmanR #ide #named
- SurfClipse: Context-Aware Meta-search in the IDE (MMR, CKR), pp. 617–620.
- PLDI-2014-ArztRFBBKTOM #analysis #android #named #precise
- FlowDroid: precise context, flow, field, object-sensitive and lifecycle-aware taint analysis for Android apps (SA, SR, CF, EB, AB, JK, YLT, DO, PM), p. 29.
- SAS-2014-SamantaOE #automation #cost analysis #program repair
- Cost-Aware Automatic Program Repair (RS, OO, EAE), pp. 268–284.
- LATA-2014-LiPT #probability #process #simulation
- Probabilistic Simulation for Probabilistic Data-Aware Business Processes (HL, FP, FT), pp. 503–515.
- CHI-2014-AthukoralaLKJOTJ #behaviour #how #mobile
- How carat affects user behavior: implications for mobile battery awareness applications (KA, EL, MvK, AJ, AJO, ST, GJ), pp. 1029–1038.
- CHI-2014-RaeMT
- Bodies in motion: mobility, presence, and task awareness in telepresence (IR, BM, LT), pp. 2153–2162.
- CHI-2014-ReetzG #gesture #identification
- Making big gestures: effects of gesture size on observability and identification for co-located group awareness (AR, CG), pp. 4087–4096.
- CSCW-2014-HanSRC #community #mobile
- Enhancing community awareness of and participation in local heritage with a mobile application (KH, PCS, MBR, JMC), pp. 1144–1155.
- DHM-2014-MamessierDO #artificial reality #assessment #online #using
- Calibration of Online Situation Awareness Assessment Systems Using Virtual Reality (SM, DD, MO), pp. 124–135.
- DHM-2014-WongpatikasereeKT #analysis
- Context-Aware Posture Analysis in a Workstation-Oriented Office Environment (KW, HK, YT), pp. 148–159.
- HCI-AS-2014-JeonCY #case study #mobile
- Developing a Location-Aware Mobile Guide System for GLAMs Based on TAPIR Sound Tag: A Case Study of the Lee Ungno Museum (JJ, GC, WSY), pp. 425–433.
- HCI-AS-2014-KadomuraITRS #named #using #visualisation
- AwareCycle: Application for Sports Visualization Using an Afterimage Display Attached to the Wheel of a Bicycle (AK, YI, KT, JR, IS), pp. 256–264.
- HCI-TMT-2014-BotegaBAN #data fusion #interactive
- A Model to Promote Interaction between Humans and Data Fusion Intelligence to Enhance Situational Awareness (LCB, CBB, RBdA, VPdAN), pp. 399–410.
- HCI-TMT-2014-HongKCK #3d
- 3D Face-Aware Electronics with Low-Resolution Imaging (YJH, JK, JC, IJK), pp. 603–610.
- HIMI-AS-2014-CaldwellV #interface
- Improving Control Room State Awareness through Complex Sonification Interfaces (BSC, JEV), pp. 317–323.
- HIMI-AS-2014-HaysahiH #collaboration #concept
- Kit-Build Concept Mapping for Being Aware of the Gap of Exchanged Information in Collaborative Reading of the Literature (YH, TH), pp. 32–41.
- HIMI-AS-2014-OliveiraAJ #interface #maintenance
- Human-Centered Interfaces for Situation Awareness in Maintenance (AO, RBdA, AJ), pp. 193–204.
- HIMI-DE-2014-TakahashiA #comparison #comprehension #difference #mining #using
- User Needs Search Using the Text Mining — From Commodity Comparison, Understanding the Difference of Users Awareness (YT, YA), pp. 461–467.
- AdaEurope-2014-BurnsW #programming #scheduling
- Deadline-Aware Programming and Scheduling (AB, AJW), pp. 107–118.
- CAiSE-2014-KayesHC #data access #framework #named
- PO-SAAC: A Purpose-Oriented Situation-Aware Access Control Framework for Software Services (ASMK, JH, AC), pp. 58–74.
- CAiSE-2014-MoyanoBG #trust
- Trust-Aware Decision-Making Methodology for Cloud Sourcing (FM, KB, MCFG), pp. 136–149.
- CAiSE-2014-MurguzurCTS #process #runtime #staged
- Context-Aware Staged Configuration of Process Variants@Runtime (AM, XDC, ST, GS), pp. 241–255.
- ICEIS-v2-2014-DHondtT #composition #sequence
- QoS-aware Service Composition Based on Sequences of Services (SD, ST), pp. 548–555.
- ICEIS-v2-2014-MaretLL #ad hoc #community #semantics #web
- A Semantic Web Model for Ad Hoc Context-aware Virtual Communities — Application to the Smart Place Scenario (PM, FL, DL), pp. 591–598.
- ICEIS-v2-2014-Monte-AltoH #information management
- Capturing Context Information in a Context Aware Virtual Environment (HHLCMA, EHMH), pp. 605–612.
- CIKM-2014-HsiehL #mining
- Mining and Planning Time-aware Routes from Check-in Data (HPH, CTL), pp. 481–490.
- CIKM-2014-KimHYCK #retrieval
- Exploiting Knowledge Structure for Proximity-aware Movie Retrieval Model (SK, KH, MYY, SC, SK), pp. 1847–1850.
- CIKM-2014-LeeLM #named #social #streaming
- CAST: A Context-Aware Story-Teller for Streaming Social Content (PL, LVSL, EEM), pp. 789–798.
- CIKM-2014-LiangRWMR #microblog #rank
- Time-Aware Rank Aggregation for Microblog Search (SL, ZR, WW, EM, MdR), pp. 989–998.
- CIKM-2014-MarkovKNSRC #effectiveness #metric #modelling
- Vertical-Aware Click Model-Based Effectiveness Metrics (IM, EK, VN, PS, MdR, FC), pp. 1867–1870.
- CIKM-2014-ShiKBLH #learning #named #recommendation
- CARS2: Learning Context-aware Representations for Context-aware Recommendations (YS, AK, LB, ML, AH), pp. 291–300.
- ECIR-2014-KasterenUSN #twitter
- Analyzing Tweets to Aid Situational Awareness (TvK, BU, VS, MEN), pp. 700–705.
- ECIR-2014-Lommatzsch #realtime #recommendation #using
- Real-Time News Recommendation Using Context-Aware Ensembles (AL), pp. 51–62.
- ECIR-2014-NguyenK #query #topic
- Leveraging Dynamic Query Subtopics for Time-Aware Search Result Diversification (TNN, NK), pp. 222–234.
- ECIR-2014-PereiraMCM #crawling #web
- Time-Aware Focused Web Crawling (PP, JM, OC, HM), pp. 534–539.
- ECIR-2014-RikitianskiiHC #personalisation #recommendation
- A Personalised Recommendation System for Context-Aware Suggestions (AR, MH, FC), pp. 63–74.
- ICML-c2-2014-LinDH0 #classification #encoding #multi
- Multi-label Classification via Feature-aware Implicit Label Space Encoding (ZL, GD, MH, JW), pp. 325–333.
- ICPR-2014-DominguesMMSR #information management #recommendation #topic #using
- Using Contextual Information from Topic Hierarchies to Improve Context-Aware Recommender Systems (MAD, MGM, RMM, CVS, SOR), pp. 3606–3611.
- ICPR-2014-HaqBBA #modelling #segmentation #using
- Using Shape-Aware Models for Lumbar Spine Intervertebral Disc Segmentation (RH, DAB, RCB, MAA), pp. 3191–3196.
- ICPR-2014-MadrigalHL #multi
- Intention-Aware Multiple Pedestrian Tracking (FM, JBH, FL), pp. 4122–4127.
- ICPR-2014-RenL #gender #recognition #using
- Gender Recognition Using Complexity-Aware Local Features (HR, ZNL), pp. 2389–2394.
- ICPR-2014-RiabchenkoKC14a #detection
- Density-Aware Part-Based Object Detection with Positive Examples (ER, JKK, KC), pp. 2814–2819.
- ICPR-2014-TavanaiSGCH #detection
- Context Aware Detection and Tracking (AT, MS, FG, AGC, DCH), pp. 2197–2202.
- ICPR-2014-YamadaM #approach #behaviour #using
- Estimating Driver Awareness of Crossing Pedestrians While Turning Left Based on Vehicle Behavior Using Bayesian Approach (KY, TM), pp. 1898–1903.
- KDD-2014-KhalilDS #network #optimisation #scalability
- Scalable diffusion-aware optimization of network topology (EBK, BND, LS), pp. 1226–1235.
- KDD-2014-ZhuXGC #mobile #privacy #recommendation #security
- Mobile app recommendations with security and privacy awareness (HZ, HX, YG, EC), pp. 951–960.
- KDIR-2014-Sydow #set #towards #visualisation
- Towards Integrity in Diversity-aware Small Set Selection and Visualisation Tasks (MS), pp. 480–484.
- KDIR-2014-UtkuA #mobile #recommendation
- A Mobile Location-Aware Recommendation System (SU, CEA), pp. 176–183.
- KEOD-2014-SmirnovLS
- Knowledge Fusion in Context-Aware Decision Support Systems (AVS, TL, NS), pp. 186–194.
- KEOD-2014-SongJ #empirical
- A Task-Aware Empirical Know-How Map Built on Domain Q&A (BS, ZJ), pp. 410–416.
- KMIS-2014-SaoutalMC #communication
- Should I Be Aware of the Information of Other Actors — Transversal Communication in Crisis Management (AS, NM, JPC), pp. 212–219.
- KMIS-2014-ToureMM14a #information management #modelling #towards #what
- What If We Considered Awareness for Sustainable Knowledge Management? Towards a Model for Self Regulated Knowledge Management Systems Based on Acceptance Models of Technologies and Awareness (CET, CM, JCM), pp. 413–418.
- KR-2014-HaririCD #bound
- State-Boundedness in Data-Aware Dynamic Systems (BBH, DC, MM, AD).
- RecSys-2014-Braunhofer #recommendation
- Hybridisation techniques for cold-starting context-aware recommender systems (MB), pp. 405–408.
- RecSys-2014-BraunhoferCR #hybrid #recommendation
- Switching hybrid for cold-starting context-aware recommender systems (MB, VC, FR), pp. 349–352.
- RecSys-2014-DalyBKM #multi #recommendation
- Multi-criteria journey aware housing recommender system (EMD, AB, AK, RM), pp. 325–328.
- RecSys-2014-Mayeku #personalisation #recommendation
- Enhancing personalization and learner engagement through context-aware recommendation in TEL (BM), pp. 413–415.
- RecSys-2014-VargasBKC #recommendation
- Coverage, redundancy and size-awareness in genre diversity for recommender systems (SV, LB, AK, PC), pp. 209–216.
- SIGIR-2014-CeroniTKN #using
- Bridging temporal context gaps using time-aware re-contextualization (AC, NKT, NK, CN), pp. 1127–1130.
- SIGIR-2014-ChengSM #adaptation #music #named #personalisation #recommendation #social
- Just-for-me: an adaptive personalization system for location-aware social music recommendation (ZC, JS, TM), pp. 1267–1268.
- SIGIR-2014-ChuklinZSSR #modelling
- Evaluating intuitiveness of vertical-aware click models (AC, KZ, AS, FS, MdR), pp. 1075–1078.
- SIGIR-2014-KotsakosLKGKN #approach #documentation
- A burstiness-aware approach for document dating (DK, TL, DK, DG, NK, KN), pp. 1003–1006.
- SIGIR-2014-LiS #fine-grained #twitter
- Fine-grained location extraction from tweets with temporal awareness (CL, AS), pp. 43–52.
- SIGIR-2014-MaLWSBWW #named #policy #query #web
- PAAP: prefetch-aware admission policies for query results cache in web search engines (HM, WL, BW, LS, XB, LW, BW), pp. 983–986.
- SIGIR-2014-NguyenKB #process #recommendation
- Gaussian process factorization machines for context-aware recommendations (TVN, AK, LB), pp. 63–72.
- SIGIR-2014-QureshiOP #approach #visualisation
- A perspective-aware approach to search: visualizing perspectives in news search results (MAQ, CO, GP), pp. 1261–1262.
- SIGIR-2014-SeverynMTBR #microblog #retrieval
- A syntax-aware re-ranker for microblog retrieval (AS, AM, MT, RB, MdR), pp. 1067–1070.
- SIGIR-2014-SongSWA #predict #web
- Context-aware web search abandonment prediction (YS, XS, RW, AHA), pp. 93–102.
- SIGIR-2014-SunL #towards
- Towards context-aware search with right click (AS, CHL), pp. 847–850.
- SIGIR-2014-TangWZ #recommendation
- Cross-language context-aware citation recommendation in scientific articles (XT, XW, XZ), pp. 817–826.
- SIGIR-2014-YaoHHZ #modelling #recommendation #trust
- Modeling dual role preferences for trust-aware recommendation (WY, JH, GH, YZ), pp. 975–978.
- MoDELS-2014-LuddeckeBS #modelling #ontology
- Ontology-Based Modeling of Context-Aware Systems (DL, NB, IS), pp. 484–500.
- MoDELS-2014-LuddeckeBS #modelling #ontology
- Ontology-Based Modeling of Context-Aware Systems (DL, NB, IS), pp. 484–500.
- OOPSLA-2014-MisailovicCAQR #approximate #kernel #named #optimisation
- Chisel: reliability- and accuracy-aware optimization of approximate computational kernels (SM, MC, SA, ZQ, MCR), pp. 309–328.
- PLATEAU-2014-HundhausenC #education #interactive #programming #social
- Supporting Social Interactions and Awareness in Educational Programming Environments (CDH, ASC), pp. 55–56.
- RE-2014-AlkhaniferL #design #elicitation #requirements #towards #visual notation
- Towards a situation awareness design to improve visually impaired orientation in unfamiliar buildings: Requirements elicitation study (AA, SL), pp. 23–32.
- RE-2014-TsigkanosPMGN #adaptation #requirements #runtime #security
- Engineering topology aware adaptive security: Preventing requirements violations at runtime (CT, LP, CM, CG, BN), pp. 203–212.
- REFSQ-2014-KamstiesKVIK #documentation #requirements #smarttech
- Feedback-Aware Requirements Documents for Smart Devices (EK, FK, MV, BI, BK), pp. 119–134.
- REFSQ-2014-MaidenLZBAL #approach #case study #experience #specification
- A Requirements-Led Approach for Specifying QoS-Aware Service Choreographies: An Experience Report (NAMM, JL, KZ, AB, GDA, FL), pp. 239–253.
- SAC-2014-AvilaMRPY #distributed #quantum #simulation
- GPU-aware distributed quantum simulation (AA, AM, RR, MLP, ACY), pp. 860–865.
- SAC-2014-BrinkeMBBAK #approach #composition #design #energy
- A tool-supported approach for modular design of energy-aware software (StB, SM, CB, LB, MA, SK), pp. 1206–1212.
- SAC-2014-ChoiKK #modelling #ontology #using
- Modeling for context-aware healthcare service using ontology (JC, JK, PK), pp. 1729–1730.
- SAC-2014-CruzMOV
- Workload-aware table splitting for NoSQL (FC, FM, RO, RV), pp. 399–404.
- SAC-2014-FieldAE #development #energy #framework #named
- EACOF: a framework for providing energy transparency to enable energy-aware software development (HF, GA, KE), pp. 1194–1199.
- SAC-2014-GriebeG #approach #automation #mobile #modelling #testing
- A model-based approach to test automation for context-aware mobile applications (TG, VG), pp. 420–427.
- SAC-2014-HongHKK #mobile #music #recommendation #smarttech
- Context-aware music recommendation in mobile smart devices (JH, WSH, JHK, SWK), pp. 1463–1468.
- SAC-2014-HosokawaNY #identification #information retrieval
- Location-aware information retrieval for identifying local and distant landmark (YH, YN, TY), pp. 428–435.
- SAC-2014-KinaiBWMWS #framework
- Traffic 411: a traffic congestion routing and awareness platform for Nairobi (AK, REB, AWB, EM, KW, OS), pp. 1475–1476.
- SAC-2014-LabdaMS #modelling #privacy #process
- Modeling of privacy-aware business processes in BPMN to protect personal data (WL, NM, PS), pp. 1399–1405.
- SAC-2014-SaSCTMR #graph #named
- LEGi: context-aware lexicon consolidation by graph inspection (GS, TS, RC, FT, FM, LCdR), pp. 302–307.
- SAC-2014-SehicNVLD #adaptation #development #named #programming
- Entity-adaptation: a programming model for development of context-aware applications (SS, SN, MV, FL, SD), pp. 436–443.
- SAC-2014-WangZC #composition #energy #framework #network
- An energy-aware service composition framework for service-oriented wireless sensor networks (TW, KZ, LC), pp. 408–410.
- SAC-2014-Zheng #matrix #using
- Semi-supervised context-aware matrix factorization: using contexts in a way of “latent” factors (YZ), pp. 292–293.
- SAC-2014-ZhengBM #empirical #recommendation
- Splitting approaches for context-aware recommendation: an empirical study (YZ, RDB, BM), pp. 274–279.
- FSE-2014-0010TZ #concurrent #debugging #named
- Grail: context-aware fixing of concurrency bugs (PL, OT, CZ), pp. 318–329.
- FSE-2014-AlvesSK #bibliography #code review #named #refactoring
- RefDistiller: a refactoring aware code review tool for inspecting manual refactoring edits (ELGA, MS, MK), pp. 751–754.
- ICSE-2014-NguyenKN #execution #testing #variability #web
- Exploring variability-aware execution for testing plugin-based web applications (HVN, CK, TNN), pp. 907–918.
- SPLC-2014-AngererPLGG #dependence #graph #identification #product line
- Identifying inactive code in product lines with configuration-aware system dependence graphs (FA, HP, DL, AG, PG), pp. 52–61.
- ASPLOS-2014-DelimitrouK #clustering #named
- Quasar: resource-efficient and QoS-aware cluster management (CD, CK), pp. 127–144.
- HPCA-2014-KurianDK #replication
- Locality-aware data replication in the Last-Level Cache (GK, SD, OK), pp. 1–12.
- HPCA-2014-LakshminarayanaK #algorithm #graph
- Spare register aware prefetching for graph algorithms on GPUs (NBL, HK), pp. 614–625.
- HPCA-2014-PalframanKL #fault
- Precision-aware soft error protection for GPUs (DJP, NSK, MHL), pp. 49–59.
- HPCA-2014-ZhangPXSX #architecture #memory management #named
- CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture (TZ, MP, CX, GS, YX), pp. 368–379.
- HPDC-2014-XiaHD #memory management #named
- ConCORD: easily exploiting memory content redundancy through the content-aware service command (LX, KCH, PAD), pp. 25–36.
- HPDC-2014-YinWFZZ #analysis #middleware #named #scalability #visualisation
- SLAM: scalable locality-aware middleware for I/O in scientific analysis and visualization (JY, JW, WcF, XZ, JZ), pp. 257–260.
- LCTES-2014-ZhengW #named
- WCET: aware dynamic instruction cache locking (WZ, HW), pp. 53–62.
- OSDI-2014-LeesatapornwongsaHJLG #debugging #model checking #named #performance #semantics
- SAMC: Semantic-Aware Model Checking for Fast Discovery of Deep Bugs in Cloud Systems (TL, MH, PJ, JFL, HSG), pp. 399–414.
- OSDI-2014-VenkataramanPAFS #clustering #power of #scheduling
- The Power of Choice in Data-Aware Cluster Scheduling (SV, AP, GA, MJF, IS), pp. 301–316.
- PPoPP-2014-CunninghamGHIKMSTT #performance #programming
- Resilient X10: efficient failure-aware programming (DC, DG, BH, AI, KK, HM, VAS, MT, OT), pp. 67–80.
- PPoPP-2014-PusukuriGB #concurrent #thread
- Lock contention aware thread migrations (KKP, RG, LNB), pp. 369–370.
- ECSA-2013-CherfiaB #adaptation #towards
- Towards a Bigraph-Based Model for Context-Aware Adaptive Systems (TAC, FB), pp. 340–343.
- ECSA-2013-NowakP #architecture
- Team Situational Awareness and Architectural Decision Making with the Software Architecture Warehouse (MN, CP), pp. 146–161.
- QoSA-2013-KlattK #analysis #architecture #difference
- Improving product copy consolidation by architecture-aware difference analysis (BK, MK), pp. 117–122.
- QoSA-2013-ZhouPS #cost analysis #towards
- Towards cost-aware service recovery (TGZ, IDP, HWS), pp. 53–62.
- ASE-2013-GuoCASW #approach #learning #performance #predict #statistics #variability
- Variability-aware performance prediction: A statistical learning approach (JG, KC, SA, NS, AW), pp. 301–311.
- ASE-2013-Lin #agile #distributed
- Context-aware task allocation for distributed agile team (JL), pp. 758–761.
- DAC-2013-0001WAWG #approach #empirical #estimation #towards
- Towards variation-aware system-level power estimation of DRAMs: an empirical approach (KC, CW, BA, NW, KG), p. 8.
- DAC-2013-BanerjeeDSC #adaptation #constraints #energy #performance #realtime
- Real-time use-aware adaptive MIMO RF receiver systems for energy efficiency under BER constraints (DB, SKD, SS, AC), p. 7.
- DAC-2013-ChienOCKC
- Double patterning lithography-aware analog placement (HCCC, HCO, TCC, TYK, YWC), p. 6.
- DAC-2013-FangLC #multi
- Stitch-aware routing for multiple e-beam lithography (SYF, IJL, YWC), p. 6.
- DAC-2013-HamzehSV #architecture #configuration management #named
- REGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs) (MH, AS, SBKV), p. 10.
- DAC-2013-HoOCT #array
- Coupling-aware length-ratio-matching routing for capacitor arrays in analog integrated circuits (KHH, HCO, YWC, HFT), p. 6.
- DAC-2013-MercatiBPRB #manycore #reliability #user interface
- Workload and user experience-aware dynamic reliability management in multicore processors (PM, AB, FP, TSR, LB), p. 6.
- DAC-2013-MishraMD #approach #design #multi
- A heterogeneous multiple network-on-chip design: an application-aware approach (AKM, OM, CRD), p. 10.
- DAC-2013-NathAR #concurrent #scheduling #thread
- Temperature aware thread block scheduling in GPGPUs (RN, RZA, TSR), p. 6.
- DAC-2013-RahimiBG #architecture
- Aging-aware compiler-directed VLIW assignment for GPGPU architectures (AR, LB, RKG), p. 6.
- DAC-2013-TajikHD #3d #architecture #manycore #named #process
- VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
- DAC-2013-WangYRNZMMB #design #grid #power management
- Role of power grid in side channel attack and power-grid-aware secure design (XW, WY, DBR, SN, YZ, SM, DM, SB), p. 9.
- DAC-2013-YangCTH #performance
- New ERA: new efficient reliability-aware wear leveling for endurance enhancement of flash storage devices (MCY, YHC, CWT, PCH), p. 6.
- DAC-2013-YuYGP #named
- E-BLOW: e-beam lithography overlapping aware stencil planning for MCC system (BY, KY, JRG, DZP), p. 7.
- DATE-2013-AdnanG #in the cloud
- Utility-aware deferred load balancing in the cloud driven by dynamic pricing of electricity (MAA, RG), pp. 262–265.
- DATE-2013-AyadAMSL #energy #integration #variability
- HW-SW integration for energy-efficient/variability-aware computing (GA, AA, EM, BS, RL), pp. 607–611.
- DATE-2013-ChanCK #adaptation #scalability
- Impact of adaptive voltage scaling on aging-aware signoff (TBC, WTJC, ABK), pp. 1683–1688.
- DATE-2013-ChangWB #design
- Process-variation-aware Iddq diagnosis for nano-scale CMOS designs — the first step (CLC, CHPW, JB), pp. 454–457.
- DATE-2013-DaneshtalabEPT #interface #manycore #named #network
- CARS: congestion-aware request scheduler for network interfaces in NoC-based manycore systems (MD, ME, JP, HT), pp. 1048–1051.
- DATE-2013-DasKV13a #communication #design #energy #fault #manycore #migration
- Communication and migration energy aware design space exploration for multicore systems with intermittent faults (AD, AK, BV), pp. 1631–1636.
- DATE-2013-GangadharanCZ #scheduling
- Quality-aware media scheduling on MPSoC platforms (DG, SC, RZ), pp. 976–981.
- DATE-2013-GuoWLLLC #named
- DA-RAID-5: a disturb aware data protection technique for NAND flash storage systems (JG, WW, YZ, SL, HL, YC), pp. 380–385.
- DATE-2013-GurgenGBG #cyber-physical #self
- Self-aware cyber-physical systems and applications in smart buildings and cities (LG, OG, YB, MG), pp. 1149–1154.
- DATE-2013-HsiehWH #configuration management #distributed #named #network
- DANCE: distributed application-aware node configuration engine in shared reconfigurable sensor networks (CMH, ZW, JH), pp. 839–842.
- DATE-2013-JooyaB #power management #using
- Using synchronization stalls in power-aware accelerators (AJ, AB), pp. 400–403.
- DATE-2013-KimRAL #energy #virtual machine
- Correlation-aware virtual machine allocation for energy-efficient datacenters (JK, MR, DA, ML), pp. 1345–1350.
- DATE-2013-RutzigBC #configuration management #energy #framework #multi
- A transparent and energy aware reconfigurable multiprocessor platform for simultaneous ILP and TLP exploitation (MBR, ACSB, LC), pp. 1559–1564.
- DATE-2013-SchonwaldVBR #deployment #memory management
- Shared memory aware MPSoC software deployment (TS, AV, OB, WR), pp. 1771–1776.
- DATE-2013-ShenQ #energy #performance #smarttech #streaming #video
- User-aware energy efficient streaming strategy for smartphone based video playback applications (HS, QQ), pp. 258–261.
- DATE-2013-WagnerW #analysis #performance #statistics
- Efficient variation-aware statistical dynamic timing analysis for delay test applications (MW, HJW), pp. 276–281.
- DATE-2013-WangDX #named #policy
- OAP: an obstruction-aware cache management policy for STT-RAM last-level caches (JW, XD, YX), pp. 847–852.
- DATE-2013-WangLPKC #architecture #configuration management #cost analysis #design #optimisation
- Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
- DATE-2013-XiaoINSC #power management
- Saliency aware display power management (YX, KMI, VN, DS, NC), pp. 1203–1208.
- DATE-2013-XydisPS #configuration management
- Thermal-aware datapath merging for coarse-grained reconfigurable processors (SX, GP, CS), pp. 1649–1654.
- DATE-2013-ZapaterAMVGC #energy #performance
- Leakage and temperature aware server control for improving energy efficiency in data centers (MZ, JLA, JMM, KV, KCG, AKC), pp. 266–269.
- DATE-2013-ZhangYH0 #testing
- Capturing post-silicon variation by layout-aware path-delay testing (XZ, JY, YH, XL), pp. 288–291.
- DATE-2013-ZhaoOX #process #synthesis
- Profit maximization through process variation aware high level synthesis with speed binning (MZ, AO, CJX), pp. 176–181.
- DATE-2013-ZouZKX #3d #design
- Thermomechanical stress-aware management for 3D IC designs (QZ, TZ, EK, YX), pp. 1255–1258.
- DocEng-2013-PandeyM #documentation
- Version aware LibreOffice documents (MP, EVM), pp. 57–60.
- PODS-2013-CalvaneseGM #analysis #database #perspective #process
- Foundations of data-aware process analysis: a database theory perspective (DC, GDG, MM), pp. 1–12.
- SIGMOD-2013-MaasKHL #in memory #named
- BUZZARD: a NUMA-aware in-memory indexing system (LMM, TK, DH, WL), pp. 1285–1286.
- VLDB-2013-Chang #roadmap
- Context-Aware Computing: Opportunities and Open Issues (EYC), pp. 1172–1173.
- VLDB-2013-FarnanLCY #named #query
- PAQO: A Preference-Aware Query Optimizer for PostgreSQL (NLF, AJL, PKC, TY), pp. 1334–1337.
- VLDB-2013-ZhuFCY #approximate #incremental #personalisation #rank
- Incremental and Accuracy-Aware Personalized PageRank through Scheduled Approximation (FZ, YF, KCCC, JY), pp. 481–492.
- VLDB-2014-CaoR13 #clustering #performance #query
- High Performance Stream Query Processing With Correlation-Aware Partitioning (LC, EAR), pp. 265–276.
- ITiCSE-2013-Al-SubaihinA #developer #mobile
- Raising awareness of mobile widgets among developers (AAAS, HSAK), p. 337.
- CSMR-2013-PengABCTR #framework #named #process
- MDO: Framework for Context-Aware Process Mobility in Building-Maintenance Domain (TP, GA, DB, AC, TJT, MR), pp. 449–452.
- ICPC-2013-LanzaDBHR #named #process #realtime #visual notation
- Manhattan: Supporting real-time visual team activity awareness (ML, MD, AB, LH, FR), pp. 207–210.
- ICSM-2013-NguyenNNN13a #fault #locality #web
- Database-Aware Fault Localization for Dynamic Web Applications (HVN, HAN, TTN, TNN), pp. 456–459.
- WCRE-2013-HayashiTS #difference #java #named #refactoring
- REdiffs: Refactoring-aware difference viewer for java (SH, ST, MS), pp. 487–488.
- WCRE-2013-RahmanYR #ide
- An IDE-based context-aware meta search engine (MMR, SY, CKR), pp. 467–471.
- CHI-2013-DoucetteMGNP #feedback #interactive
- The effects of tactile feedback and movement alteration on interaction and awareness with digital embodiments (AD, RLM, CG, MAN, AP), pp. 1891–1900.
- CHI-2013-IonCHHS #multi #named #scalability
- Canyon: providing location awareness of multiple moving objects in a detail view on large displays (AI, YLBC, MH, MSH, SDS), pp. 3149–3158.
- CHI-2013-TamMMK #design
- The design and field observation of a haptic notification system for timing awareness during oral presentations (DT, KEM, JM, KJK), pp. 1689–1698.
- CHI-2013-ValkanovaJTM #exclamation #social #visualisation
- Reveal-it!: the impact of a social visualization projection on public awareness and discourse (NV, SJ, MT, AVM), pp. 3461–3470.
- CHI-2013-XuLW #design #multi
- Designing engagement-aware agents for multiparty conversations (QX, LL, GW), pp. 2233–2242.
- CSCW-2013-NeustaedterG #chat #interactive #product line #video
- Exploring pet video chat: the remote awareness and interaction needs of families with dogs and cats (CN, JG), pp. 1549–1554.
- DUXU-NTE-2013-SannaVBBR #approach #behaviour #design
- Engineering AwarenessTM: An e-Service Design Approach for Behavioral Change in Healthcare and Well-Being (AS, SV, SB, IB, AR), pp. 558–567.
- DUXU-PMT-2013-ChenLV
- Activity-Based Context-Aware Model (YC, ZL, JV), pp. 479–487.
- HCI-AMTE-2013-Rehm #multi
- From Multicultural Agents to Culture-Aware Robots (MR), pp. 431–440.
- HCI-AS-2013-FekryHA #monitoring #named
- Anti-Bump: A Bump/Pothole Monitoring and Broadcasting System for Driver Awareness (MF, AH, AA), pp. 561–570.
- HCI-III-2013-CaonAYKM #multimodal
- Context-Aware Multimodal Sharing of Emotions (MC, LA, YY, OAK, EM), pp. 19–28.
- HCI-III-2013-FunkeBKS #concept #interactive #ontology
- An Ontology-Based Interaction Concept for Social-Aware Applications (AF, SB, RK, TS), pp. 46–55.
- HCI-III-2013-ItoON #biology #interactive
- A Method for Promoting Interaction Awareness by Biological Rhythm in Elementary School Children (KI, KO, SN), pp. 421–430.
- HCI-III-2013-LimD
- Evaluating Intelligibility Usage and Usefulness in a Context-Aware Application (BYL, AKD), pp. 92–101.
- HCI-III-2013-OhiroIN #communication
- An Awareness System for Supporting Remote Communication — Application to Long-Distance Relationships (TO, TI, YN), pp. 329–338.
- HCI-III-2013-ScerriSRADVHH #information management
- Interacting with a Context-Aware Personal Information Sharing System (SS, AS, IR, JA, JD, MV, FH, SH), pp. 122–131.
- HCI-III-2013-ShenCJ #design #energy #evaluation #interface
- Design and Evaluation of Eco-feedback Interfaces to Support Location-Based Services for Individual Energy Awareness and Conservation (YTS, PCC, TJ), pp. 132–140.
- HCI-IMT-2013-BoyP
- A Situation Awareness Assistant for Human Deep Space Exploration (GAB, DP), pp. 629–636.
- HCI-UC-2013-Acharya #named #privacy
- SP-CIP: A Secure and Privacy Aware Patient Centric Information Portal (SA), pp. 3–9.
- HIMI-D-2013-KasamatsuKYJF #evaluation
- Physiological Responses and Kansei Evaluation on Awareness (KK, HK, MY, HJ, SF), pp. 614–619.
- HIMI-HSM-2013-DamrongratKI #multi #ontology #representation #simulation #using
- Increasing Situational Awareness of Indoor Emergency Simulation Using Multilayered Ontology-Based Floor Plan Representation (CD, HK, MI), pp. 39–45.
- HIMI-LCCB-2013-AehneltBRKUK #interactive #multi #smarttech
- Situation Aware Interaction with Multi-modal Business Applications in Smart Environments (MA, SB, GR, FK, BU, TK), pp. 413–422.
- HIMI-LCCB-2013-BrynielssonJL #communication #design #process #prototype #social #social media #using #video
- Using Video Prototyping as a Means to Involve Crisis Communication Personnel in the Design Process: Innovating Crisis Management by Creating a Social Media Awareness Tool (JB, FJ, SL), pp. 559–568.
- OCSC-2013-KadenbachK #collaboration
- Project Awareness System — Improving Collaboration through Visibility (DK, CK), pp. 164–173.
- CAiSE-2013-Kabicher-FuchsMR #experience #information management
- Experience Breeding in Process-Aware Information Systems (SKF, JM, SRM), pp. 594–609.
- CAiSE-2013-KlemischWB #component #reuse #user interface
- Context-Aware UI Component Reuse (KK, IW, BB), pp. 68–83.
- CAiSE-2013-MeyerRM #internet #modelling #process
- Internet of Things-Aware Process Modeling: Integrating IoT Devices as Business Process Resources (SM, AR, CM), pp. 84–98.
- ICEIS-J-2013-AhmadO13a #architecture #enterprise #ontology #semantics
- Blueprint of a Semantic Business Process-Aware Enterprise Information Architecture: The EIAOnt Ontology (MA, MO), pp. 520–539.
- ICEIS-v2-2013-DomingosMC #internet #process
- Internet of Things Aware WS-BPEL Business Process (DD, FM, CC), pp. 505–512.
- ICEIS-v2-2013-MachadoPATWO
- Situation-awareness as a Key for Proactive Actions in Ambient Assisted Living (AM, AMP, IA, LHT, LKW, JPMdO), pp. 418–426.
- ICEIS-v3-2013-CheikhrouhouKGJ #bibliography #modelling #process
- A Survey on Time-aware Business Process Modeling (SC, SK, NG, MJ), pp. 236–242.
- ICEIS-v3-2013-NassarBBB #architecture #design #security #towards
- Towards Security Awareness in Designing Service-oriented Architectures (PBN, YB, FB, KB), pp. 347–355.
- ICEIS-v3-2013-RamdoyalPDSLJ #metamodelling #resource management #workflow
- A Generic Workflow Metamodel to Support Resource-aware Decision Making (RR, CP, MAD, GS, IL, JMJ), pp. 243–250.
- CIKM-2013-ManiuC #performance #social
- Network-aware search in social tagging applications: instance optimality versus efficiency (SM, BC), pp. 939–948.
- CIKM-2013-ShaoYCM #graph #named
- PAGE: a partition aware graph computation engine (YS, JY, BC, LM), pp. 823–828.
- CIKM-2013-StrotgenG #proximity #query #ranking
- Proximity2-aware ranking for textual, temporal, and geographic queries (JS, MG), pp. 739–744.
- CIKM-2013-TongWL #web
- Latency-aware strategy for static list caching in flash-based web search engines (JT, GW, XL), pp. 1209–1212.
- CIKM-2013-YuanWJL #clustering #graph #performance #streaming
- Efficient processing of streaming graphs for evolution-aware clustering (MY, KLW, GJS, YL), pp. 319–328.
- ECIR-2013-LeelanupabZJ #question #rank
- Is Intent-Aware Expected Reciprocal Rank Sufficient to Evaluate Diversity? (TL, GZ, JMJ), pp. 738–742.
- KDD-2013-ErdosIBT #network
- Repetition-aware content placement in navigational networks (DE, VI, AB, ET), pp. 820–828.
- KDD-2013-LiWWF
- Location-aware publish/subscribe (GL, YW, TW, JF), pp. 802–810.
- KDD-2013-WangCF #clique
- Redundancy-aware maximal cliques (JW, JC, AWCF), pp. 122–130.
- KDD-2013-YinSCHC #named #recommendation
- LCARS: a location-content-aware recommender system (HY, YS, BC, ZH, LC), pp. 221–229.
- KEOD-2013-AveiroP13a #approach #enterprise #implementation #ontology #semantics
- Implementing Organizational Self Awareness — A Semantic Mediawiki based Enterprise Ontology Management Approach (DA, DP), pp. 453–461.
- KEOD-2013-ZouhaierDA #automation #generative #reasoning #using
- Automatic Generation of UIs for Disabled Users using Context-aware Techniques and Reasoning (LZ, YBH, LJBA), pp. 413–418.
- RecSys-2013-HaririMB #recommendation
- Query-driven context aware recommendation (NH, BM, RDB), pp. 9–16.
- RecSys-2013-KaminskasRS #hybrid #music #recommendation #using
- Location-aware music recommendation using auto-tagging and hybrid matching (MK, FR, MS), pp. 17–24.
- RecSys-2013-PanCCY #personalisation #recommendation #social
- Diffusion-aware personalized social update recommendation (YP, FC, KC, YY), pp. 69–76.
- RecSys-2013-TangGHL #bibliography #predict #rating
- Context-aware review helpfulness rating prediction (JT, HG, XH, HL), pp. 1–8.
- SEKE-2013-Chang #re-engineering
- Environment-Aware Software Engineering (SKC).
- SEKE-2013-SilvaD #approach #semantics
- A context-aware approach on semantic trajectories (S) (CS, MARD), pp. 435–440.
- SIGIR-2013-FeildA #query #recommendation
- Task-aware query recommendation (HAF, JA), pp. 83–92.
- SIGIR-2013-MiyanishiS #query
- Time-aware structured query suggestion (TM, TS), pp. 809–812.
- SIGIR-2013-RenLMR #personalisation #summary #twitter
- Personalized time-aware tweets summarization (ZR, SL, EM, MdR), pp. 513–522.
- SIGIR-2013-YuanCMSM #recommendation
- Time-aware point-of-interest recommendation (QY, GC, ZM, AS, NMT), pp. 363–372.
- SIGIR-2013-ZhangWYW #learning #network #predict
- Learning latent friendship propagation networks with interest awareness for link prediction (JZ, CW, PSY, JW), pp. 63–72.
- ECMFA-2013-SunCDF #using
- Using Model Types to Support Contract-Aware Model Substitutability (WS, BC, SD, RBF), pp. 118–133.
- MoDELS-2013-SunFR #modelling #slicing #uml
- Contract-Aware Slicing of UML Class Models (WS, RBF, IR), pp. 724–739.
- MoDELS-2013-SunFR #modelling #slicing #uml
- Contract-Aware Slicing of UML Class Models (WS, RBF, IR), pp. 724–739.
- Onward-2013-OhshimaLFK #declarative #framework #interactive #user interface
- KScript and KSWorld: a time-aware and mostly declarative language and interactive GUI framework (YO, AL, BF, TK), pp. 117–134.
- SAC-2013-BrinkeMBBA #composition #design #energy
- A design method for modular energy-aware software (StB, SM, CB, LB, MA), pp. 1180–1182.
- SAC-2013-FanTCS #embedded #energy #manycore #realtime
- Energy-aware real-time task synchronization in multi-core embedded systems (LFF, THT, YSC, SSS), pp. 1493–1498.
- SAC-2013-ImmichCC #adaptation #fault
- Adaptive video-aware FEC-based mechanism with unequal error protection scheme (RI, EC, MC), pp. 981–988.
- SAC-2013-KuptsovNGL #metric #network #novel
- A novel demand-aware fairness metric for IEEE 802.11 wireless networks (DK, BN, AG, AL), pp. 603–610.
- SAC-2013-LeoniA #mining #process #using
- Data-aware process mining: discovering decisions in processes using alignments (MdL, WMPvdA), pp. 1454–1461.
- SAC-2013-LiBB #ad hoc #approach #composition #web #web service
- Service farming: an ad-hoc and QoS-aware web service composition approach (WL, YB, FB), pp. 750–756.
- SAC-2013-Manzato #feedback #metadata #recommendation
- gSVD++: supporting implicit feedback on recommender systems with metadata awareness (MGM), pp. 908–913.
- SAC-2013-MontaliCMM #constraints #towards
- Towards data-aware constraints in declare (MM, FC, PM, FMM), pp. 1391–1396.
- SAC-2013-Montesi #programming #web
- Process-aware web programming with Jolie (FM), pp. 761–763.
- SAC-2013-PflugR #information management
- Dynamic instance queuing in process-aware information systems (JP, SRM), pp. 1426–1433.
- SAC-2013-Schefer-WenzlS #information management #policy
- Generic support for RBAC break-glass policies in process-aware information systems (SSW, MS), pp. 1441–1446.
- SAC-2013-StevensonYDPMV #network #reasoning #resource management #self #semantics
- Combining self-organisation, context-awareness and semantic reasoning: the case of resource discovery in opportunistic networks (GS, JY, SD, DP, SM, MV), pp. 1369–1376.
- SAC-2013-TeodoroCF #energy #performance #scheduling
- Energy efficiency management in computational grids through energy-aware scheduling (ST, ABdC, LGF), pp. 1163–1168.
- SAC-2013-TheodouliG #adaptation #data-driven #query #web #web service
- Adaptive memory-aware chunk sizing techniques for data-intensive queries over web services (AT, AG), pp. 826–831.
- SAC-2013-VivianHL #approach #development #distributed
- Supporting distributed software development through context awareness on software artifacts: the DiSEN-CollaborAR approach (RLV, EHMH, GCLL), pp. 765–770.
- SAC-2013-YeLZ #correlation #named
- GCplace: geo-cloud based correlation aware data replica placement (ZY, SL, XZ), pp. 371–376.
- ESEC-FSE-2013-CalefatoL #named #social
- SocialCDE: a social awareness tool for global software teams (FC, FL), pp. 587–590.
- ESEC-FSE-2013-GuzmanB #development #towards
- Towards emotional awareness in software development teams (EG, BB), pp. 671–674.
- ICSE-2013-Ardito #adaptation #energy #mobile #self
- Energy aware self-adaptation in mobile systems (LA), pp. 1435–1437.
- ICSE-2013-BaysalHG #issue tracking #personalisation
- Situational awareness: personalizing issue tracking systems (OB, RH, MWG), pp. 1185–1188.
- ICSE-2013-DornT #adaptation #architecture
- Coupling software architecture and human architecture for collaboration-aware system adaptation (CD, RNT), pp. 53–62.
- ICSE-2013-OmoronyiaCSPN #adaptation #privacy #requirements
- Engineering adaptive privacy: on the role of privacy awareness requirements (IO, LC, MS, LP, BN), pp. 632–641.
- SLE-2013-DiskinKM #design pattern #megamodelling
- Mapping-Aware Megamodeling: Design Patterns and Laws (ZD, SK, TSEM), pp. 322–343.
- ASPLOS-2013-DelimitrouK #named #scheduling #semistructured data
- Paragon: QoS-aware scheduling for heterogeneous datacenters (CD, CK), pp. 77–88.
- ASPLOS-2013-JogKNMKMID #array #concurrent #named #owl #performance #scheduling #thread
- OWL: cooperative thread array aware scheduling techniques for improving GPGPU performance (AJ, OK, NCN, AKM, MTK, OM, RI, CRD), pp. 395–406.
- CGO-2013-DingZKSY #multi #scheduling
- Locality-aware mapping and scheduling for multicores (WD, YZ, MTK, JS, PY), p. 12.
- HPDC-2013-BuRX #clustering #pipes and filters #scheduling
- Interference and locality-aware task scheduling for MapReduce applications in virtual clusters (XB, JR, CZX), pp. 227–238.
- HPDC-2013-KassaN #architecture #named #performance #retrieval
- SCDA: SLA-aware cloud datacenter architecture for efficient content storage and retrieval (DFK, KN), pp. 121–122.
- HPDC-2013-LiHS #communication
- NUMA-aware shared-memory collective communication for MPI (SL, TH, MS), pp. 85–96.
- ISMM-2013-BuBXC #big data #design
- A bloat-aware design for big data applications (YB, VRB, G(X, MJC), pp. 119–130.
- PPoPP-2013-CalciuDLLMS
- NUMA-aware reader-writer locks (IC, DD, YL, VL, VJM, NS), pp. 157–166.
- WICSA-ECSA-2012-KeulerWW #agile #architecture #programming
- Architecture-aware Programming in Agile Environments (TK, SW, BW), pp. 229–233.
- WICSA-ECSA-2012-NewmanK #architecture #embedded #resource management #runtime
- A Runtime Resource-aware Architecture for Service-oriented Embedded Systems (PN, GK), pp. 61–70.
- WICSA-ECSA-2012-RathfelderBKR #email #monitoring #performance #predict #scalability #using
- Workload-aware System Monitoring Using Performance Predictions Applied to a Large-scale E-Mail System (CR, SB, KK, RHR), pp. 31–40.
- ASE-2012-XiaoTFHM #analysis #privacy
- User-aware privacy control via extended static-information-flow analysis (XX, NT, MF, JdH, MM), pp. 80–89.
- DAC-2012-0002ZW #comparison
- Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology (QM, HZ, MDFW), pp. 591–596.
- DAC-2012-BathenD #distributed #hybrid #named
- HaVOC: a hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories (LADB, ND), pp. 447–452.
- DAC-2012-CastrillonTLA
- Communication-aware mapping of KPN applications onto heterogeneous MPSoCs (JC, AT, RL, GA), pp. 1266–1271.
- DAC-2012-ChouHC #design
- Structure-aware placement for datapath-intensive circuit designs (SC, MKH, YWC), pp. 762–767.
- DAC-2012-HoffmannHKLMMNSSACD #self
- Self-aware computing in the Angstrom processor (HH, JH, GK, EL, MM, JEM, SMN, MES, YS, AA, APC, SD), pp. 259–264.
- DAC-2012-HuangCTCK #design #generative #named #novel
- Attackboard: a novel dependency-aware traffic generator for exploring NoC design space (YSCH, YCC, TCT, YYC, CTK), pp. 376–381.
- DAC-2012-HuCG #synthesis
- Library-aware resonant clock synthesis (LARCS) (XH, WJC, MRG), pp. 145–150.
- DAC-2012-JeongESP #cpu #gpu #memory management
- A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC (MKJ, ME, CS, NCP), pp. 850–855.
- DAC-2012-JungCK #optimisation #variability
- Variability-aware, discrete optimization for analog circuits (SJ, YC, JK), pp. 536–541.
- DAC-2012-KuangBK #manycore #network #optimisation
- Traffic-aware power optimization for network applications on multicore servers (JK, LNB, RK), pp. 1006–1011.
- DAC-2012-KuoHCKC #design #monte carlo #performance
- Efficient trimmed-sample Monte Carlo methodology and yield-aware design flow for analog circuits (CCK, WYH, YHC, JFK, YKC), pp. 1113–1118.
- DAC-2012-RehmanSH #compilation #scheduling
- Instruction scheduling for reliability-aware compilation (SR, MS, JH), pp. 1292–1300.
- DAC-2012-WeiSVLARHTKS #evaluation #named
- GLARE: global and local wiring aware routability evaluation (YW, CCNS, NV, ZL, CJA, LNR, ADH, GET, DK, SSS), pp. 768–773.
- DATE-2012-BathenDNG #memory management #named #variability
- VaMV: Variability-aware Memory Virtualization (LADB, NDD, AN, PG), pp. 284–287.
- DATE-2012-BhardwajCR #algorithm
- An MILP-based aging-aware routing algorithm for NoCs (KB, KC, SR), pp. 326–331.
- DATE-2012-BonamyPPC #configuration management #named #power management
- UPaRC — Ultra-fast power-aware reconfiguration controller (RB, HMP, SP, DC), pp. 1373–1378.
- DATE-2012-ChaoCTHC #configuration management #scheduling
- Congestion-aware scheduling for NoC-based reconfigurable systems (HLC, YRC, SYT, PAH, SJC), pp. 1561–1566.
- DATE-2012-CordesM #algorithm #parallel #search-based #using
- Multi-objective aware extraction of task-level parallelism using genetic algorithms (DC, PM), pp. 394–399.
- DATE-2012-EbrahimiDLPT #algorithm #network
- CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks (ME, MD, PL, JP, HT), pp. 320–325.
- DATE-2012-GuptaPMR #optimisation
- Layout-aware optimization of stt mrams (SKG, SPP, NNM, KR), pp. 1455–1458.
- DATE-2012-HuangHLLLG #power management
- Off-path leakage power aware routing for SRAM-based FPGAs (KH, YH, XL, BL, HL, JG), pp. 87–92.
- DATE-2012-JongheMGMTS #modelling #roadmap #testing #verification
- Advances in variation-aware modeling, verification, and testing of analog ICs (DdJ, EM, GGEG, TM, BT, HGDS), pp. 1615–1620.
- DATE-2012-LiuFQ #framework #manycore
- Neighbor-aware dynamic thermal management for multi-core platform (GL, MF, GQ), pp. 187–192.
- DATE-2012-SinkarWK #manycore #optimisation #performance
- Workload-aware voltage regulator optimization for power efficient multi-core processors (AAS, HW, NSK), pp. 1134–1137.
- DATE-2012-TheelenKW #data flow #model checking
- Model checking of Scenario-Aware Dataflow with CADP (BDT, JPK, HW), pp. 653–658.
- DATE-2012-WangBSD #3d #memory management #named
- 3D-FlashMap: A physical-location-aware block mapping strategy for 3D NAND flash memory (YW, LADB, ZS, NDD), pp. 1307–1312.
- DATE-2012-WangJZD #design #power management
- Low power aging-aware register file design by duty cycle balancing (SW, TJ, CZ, GD), pp. 546–549.
- DATE-2012-XieLWPSC #energy #health #hybrid
- State of health aware charge management in hybrid electrical energy storage systems (QX, XL, YW, MP, DS, NC), pp. 1060–1065.
- DATE-2012-XuLHRHT #analysis #power management
- Variation-aware leakage power model extraction for system-level hierarchical power analysis (YX, BL, RH, BR, CH, JT), pp. 346–351.
- DATE-2012-XuYCJW #3d #performance
- Efficient variation-aware EM-semiconductor coupled solver for the TSV structures in 3D IC (YX, WY, QC, LJ, NW), pp. 1409–1412.
- DATE-2012-YangGBSC #game studies #graph #policy #resource management
- Playing games with scenario- and resource-aware SDF graphs through policy iteration (YY, MG, TB, SS, HC), pp. 194–199.
- HT-2012-WalshOW #approach #evaluation
- Evaluation of a domain-aware approach to user model interoperability (EW, AO, VW), pp. 197–206.
- SIGMOD-2012-PavloCZ #automation #clustering #database #parallel
- Skew-aware automatic database partitioning in shared-nothing, parallel OLTP systems (AP, CC, SBZ), pp. 61–72.
- VLDB-2012-CaoCCX #keyword
- Keyword-aware Optimal Route Search (XC, LC, GC, XX), pp. 1136–1147.
- VLDB-2012-LuoLMCZ #data transformation #hybrid #named
- hStorage-DB: Heterogeneity-aware Data Management to Exploit the Full Capability of Hybrid Storage Systems (TL, RL, MPM, FC, XZ), pp. 1076–1087.
- VLDB-2012-SunAH #clustering #network
- Relation Strength-Aware Clustering of Heterogeneous Information Networks with Incomplete Attributes (YS, CCA, JH), pp. 394–405.
- VLDB-2013-ZhouMRLHILS12 #distributed
- Distributed Time-aware Provenance (WZ, SM, YR, YL, AH, ZGI, BTL, MS), pp. 49–60.
- TACAS-2012-JinYS #java #memory management #model checking
- Java Memory Model-Aware Model Checking (HJ, TYK, BAS), pp. 220–236.
- TACAS-2012-ZaeemGKM #data type #satisfiability #using
- History-Aware Data Structure Repair Using SAT (RNZ, DG, SK, KSM), pp. 2–17.
- CSMR-2012-JuniorPCM #architecture #parallel #version control
- A GPU-based Architecture for Parallel Image-aware Version Control (JRdSJ, TP, EWGC, LGPM), pp. 191–200.
- SCAM-2012-GauthierM #alias #php
- Alias-Aware Propagation of Simple Pattern-Based Properties in PHP Applications (FG, EM), pp. 44–53.
- WCRE-2012-Detten #architecture #named #re-engineering
- Archimetrix: A Tool for Deficiency-Aware Software Architecture Reconstruction (MvD), pp. 503–504.
- PLDI-2012-SamadiHMLM #adaptation #compilation
- Adaptive input-aware compilation for graphics engines (MS, AH, MM, JL, SAM), pp. 13–22.
- ICALP-v2-2012-JainMNS #migration #network #virtual machine
- Topology-Aware VM Migration in Bandwidth Oversubscribed Datacenter Networks (NJ, IM, JN, FBS), pp. 586–597.
- SEFM-2012-ColomboFMP #bound #configuration management #monitoring #named #resource management #runtime #verification
- polyLarva: Runtime Verification with Configurable Resource-Aware Monitoring Boundaries (CC, AF, RM, GJP), pp. 218–232.
- CHI-2012-AminiBKTK #mobile
- Trajectory-aware mobile search (SA, AJBB, JK, JT, AKK), pp. 2561–2564.
- CHI-2012-BauerCGSWWK #mobile #named #recommendation
- ShutEye: encouraging awareness of healthy sleep recommendations with a mobile, peripheral display (JSB, SC, BG, JWS, EW, NFW, JAK), pp. 1401–1410.
- CHI-2012-BirnholtzBF #behaviour
- Do you see that I see?: effects of perceived visibility on awareness checking behavior (JPB, NB, SRF), pp. 1765–1774.
- CHI-2012-DixonFW #analysis #interface #using #visual notation
- A general-purpose target-aware pointing enhancement using pixel-level analysis of graphical interfaces (MD, JF, JOW), pp. 3167–3176.
- CSCW-2012-BaumerKFAGPRNOG #design #health #mobile #persuasion #social
- Prescriptive persuasion and open-ended social awareness: expanding the design space of mobile health (EPSB, SJK, JEF, PA, ALG, JPP, DR, JN, CMO, GKG), pp. 475–484.
- CSCW-2012-CheungCS #collaboration #communication #game studies
- Communication channels and awareness cues in collocated collaborative time-critical gaming (VC, YLBC, SDS), pp. 569–578.
- CSCW-2012-PentlandHK #consistency #distance #distributed
- Awareness as an antidote to distance: making distributed groups cooperative and consistent (AP, PH, TK), pp. 1237–1246.
- CAiSE-2012-KabirHYC #information management #named #social
- SCIMS: A Social Context Information Management System for Socially-Aware Applications (MAK, JH, JY, AWC), pp. 301–317.
- CAiSE-2012-LiptchinskyKTD #approach #collaboration #modelling #novel #process #social
- A Novel Approach to Modeling Context-Aware and Social Collaboration Processes (VL, RK, HLT, SD), pp. 565–580.
- ICEIS-v2-2012-BaidouriHNK #architecture #composition
- A Mediator Architecture for Context-aware Composition in SOA (HB, HH, MN, AK), pp. 245–251.
- CIKM-2012-BonchiFNSV #interactive
- Interactive and context-aware tag spell check and correction (FB, OF, FMN, FS, HV), pp. 1869–1873.
- CIKM-2012-FuxmanKLT
- Enabling direct interest-aware audience selection (AF, AK, ZL, PT), pp. 575–584.
- CIKM-2012-KaratzoglouBCB #mobile #recommendation
- Climbing the app wall: enabling mobile app discovery through context-aware recommendations (AK, LB, KC, MB), pp. 2527–2530.
- CIKM-2012-LiangXTC #recommendation #topic
- Time-aware topic recommendation based on micro-blogs (HL, YX, DT, PC), pp. 1657–1661.
- CIKM-2012-LinJZY #named
- TASE: a time-aware search engine (SL, PJ, XZ, LY), pp. 2713–2715.
- CIKM-2012-LiWXCS #network #query #social
- Spatial-aware interest group queries in location-based social networks (YL, DW, JX, BC, WS), pp. 2643–2646.
- CIKM-2012-MendesMZB #graph #similarity #using
- Measuring website similarity using an entity-aware click graph (PNM, PM, HZ, RB), pp. 1697–1701.
- CIKM-2012-NguyenCH
- A math-aware search engine for math question answering system (TTN, KC, SCH), pp. 724–733.
- CIKM-2012-WuCLXBZ #matrix #probability
- Leveraging tagging for neighborhood-aware probabilistic matrix factorization (LW, EC, QL, LX, TB, LZ), pp. 1854–1858.
- CIKM-2012-YinKRC #microblog #named
- ESA: emergency situation awareness via microbloggers (JY, SK, BR, MAC), pp. 2701–2703.
- CIKM-2012-ZerrSH #classification #exclamation #image #privacy #retrieval
- PicAlert!: a system for privacy-aware image classification and retrieval (SZ, SS, JSH), pp. 2710–2712.
- CIKM-2012-ZhongFLTZ
- Location-aware instant search (RZ, JF, GL, KLT, LZ), pp. 385–394.
- ICPR-2012-DingLHXW #recognition #video
- Context-aware horror video scene recognition via cost-sensitive sparse coding (XD, BL, WH, WX, ZW), pp. 1904–1907.
- ICPR-2012-GhanemKFZ #automation #learning #recognition
- Context-aware learning for automatic sports highlight recognition (BG, MK, MF, TZ), pp. 1977–1980.
- KEOD-2012-TarakciC #fuzzy #modelling #named #semantics #ubiquitous
- UCASFUM: A Ubiquitous Context-aware Semantic Fuzzy User Modeling System (HT, NKC), pp. 278–283.
- KMIS-2012-GoncalvesSCP #framework #social
- A Social Framework to Underpin Collective Awareness in BPM (NPG, SS, FC, JASP), pp. 335–340.
- RecSys-2012-HaririMB #music #recommendation #topic
- Context-aware music recommendation based on latenttopic sequential patterns (NH, BM, RDB), pp. 131–138.
- RecSys-2012-RaghavanGG #bibliography #collaboration #quality
- Review quality aware collaborative filtering (SR, SG, JG), pp. 123–130.
- SEKE-2012-ZhangCGZSC #named #reduction #requirements #testing
- CATESR: Change-aware Test Suite Reduction Based on Partial Coverage of Test Requirements (LZ, XC, QG, HZ, XS, DC), pp. 217–224.
- SIGIR-2012-FangHC #graph
- Confidence-aware graph regularization with heterogeneous pairwise features (YF, BJPH, KCCC), pp. 951–960.
- SIGIR-2012-FeildA
- Task-aware search assistant (HAF, JA), p. 1015.
- SIGIR-2012-HosseiniCMSY #evaluation #information retrieval #query
- An uncertainty-aware query selection model for evaluation of IR systems (MH, IJC, NMF, MS, EY), pp. 901–910.
- SIGIR-2012-KanhabuaBN #learning #retrieval
- Learning to select a time-aware retrieval model (NK, KB, KN), pp. 1099–1100.
- SIGIR-2012-KharitonovS #gender #ranking
- Gender-aware re-ranking (EK, PS), pp. 1081–1082.
- SIGIR-2012-MaW #query #web
- User-aware caching and prefetching query results in web search engines (HM, BW), pp. 1163–1164.
- SIGIR-2012-ShiKBLHO #named #optimisation #recommendation
- TFMAP: optimizing MAP for top-n context-aware recommendation (YS, AK, LB, ML, AH, NO), pp. 155–164.
- SIGIR-2012-Whiting #problem #ranking
- The essence of time: considering temporal relevance as an intent-aware ranking problem (SW), p. 1000.
- SIGIR-2012-ZerrSHD #classification #image #privacy
- Privacy-aware image classification and search (SZ, SS, JSH, ED), pp. 35–44.
- ICMT-2012-AtkinsonGT #model transformation #multi #towards
- Towards Multi-level Aware Model Transformations (CA, RG, CT), pp. 208–223.
- MoDELS-2012-AlferezP #evolution #modelling #runtime
- Dynamic Evolution of Context-Aware Systems with Models at Runtime (GHA, VP), pp. 70–86.
- MoDELS-2012-AlferezP #evolution #modelling #runtime
- Dynamic Evolution of Context-Aware Systems with Models at Runtime (GHA, VP), pp. 70–86.
- Onward-2012-BainomugishaVRCM #fresh look #programming
- Interruptible context-dependent executions: a fresh look at programming context-aware applications (EB, JV, CDR, ALC, WDM), pp. 67–84.
- OOPSLA-2012-KastnerOE #variability
- A variability-aware module system (CK, KO, SE), pp. 773–792.
- PADL-2012-MartinsME #functional #programming
- The Environment as an Argument — Context-Aware Functional Programming (PMM, JAM, SE), pp. 48–62.
- POPL-2012-ZhuMKR #approximate #performance #program transformation #random
- Randomized accuracy-aware program transformations for efficient approximate computations (ZAZ, SM, JAK, MCR), pp. 441–454.
- RE-2012-VrbaskiPA #reasoning #rule-based #tool support
- Tool support for combined rule-based and goal-based reasoning in Context-Aware systems (MV, DCP, DA), pp. 335–336.
- SAC-2012-AkessonHRM #pervasive
- Instance-aware assemblies of services in pervasive computing (LÅ, GH, SGR, BM), pp. 1554–1556.
- SAC-2012-AlencarBPO #documentation #visualisation
- Time-aware visualization of document collections (ABA, KB, FVP, MCFdO), pp. 997–1004.
- SAC-2012-BookGB #continuation #web
- Process-aware continuation management in web applications (MB, VG, MB), pp. 717–724.
- SAC-2012-HuH #network
- A density-aware routing scheme in delay tolerant networks (CLH, BJH), pp. 563–568.
- SAC-2012-KimPJSCH #garbage collection #hybrid #named #performance
- AAGC: an efficient associativity-aware garbage collection scheme for hybrid FTLs (BK, MP, CJ, COS, YC, JH), pp. 1785–1790.
- SAC-2012-SouzaMGTM #monitoring #requirements
- Monitoring strategic goals in data warehouses with awareness requirements (VESS, JNM, IG, JT, JM), pp. 1075–1082.
- SAC-2012-TrabelsiMY #folksonomy #markov #modelling #named #recommendation
- HMM-CARe: Hidden Markov Models for context-aware tag recommendation in folksonomies (CT, BM, SBY), pp. 957–961.
- SAC-2012-TsaiC #3d #manycore #realtime #scheduling
- Thermal-aware real-time task scheduling for three-dimensional multicore chip (THT, YSC), pp. 1618–1624.
- SAC-2012-WangZHHZWT #mining #mobile #recommendation
- Context-aware role mining for mobile service recommendation (JW, CZ, CH, LH, LZ, RKW, JT), pp. 173–178.
- SAC-2012-ZaraketNSJ #architecture #library #parallel #source code #using
- Portable Parallel Programs using architecture-aware libraries (FAZ, MN, MS, AJ), pp. 1922–1924.
- SAC-2012-ZhongGHCW #memory management
- Affinity-aware DMA buffer management for reducing off-chip memory access (QZ, XG, TH, XC, KW), pp. 1588–1593.
- ICSE-2012-DownsPH
- Ambient awareness of build status in collocated software teams (JD, BP, JGH), pp. 507–517.
- ASPLOS-2012-JaleelNSSE #named #scheduling
- CRUISE: cache replacement and utility-aware scheduling (AJ, HHNa, SS, SCSJ, JSE), pp. 249–260.
- ASPLOS-2012-SimhaLC
- An update-aware storage system for low-locality update-intensive workloads (DNS, ML, TcC), pp. 375–386.
- CGO-2012-PlazarKMF
- WCET-aware static locking of instruction caches (SP, JCK, PM, HF), pp. 44–52.
- HPCA-2012-DuanZAT #commit #execution #named
- BulkCompactor: Optimized deterministic execution via Conflict-Aware commit of atomic blocks (YD, XZ, WA, JT), pp. 361–372.
- HPCA-2012-LeeK #architecture #cpu #gpu #named #policy
- TAP: A TLP-aware cache management policy for a CPU-GPU heterogeneous architecture (JL, HK), pp. 91–102.
- HPDC-2012-LiSBKS #in the cloud #named #pipes and filters
- CAM: a topology aware minimum cost flow based resource manager for MapReduce applications in the cloud (ML, DS, ARB, AK, PS), pp. 211–222.
- HPDC-2012-ParkLKHM #configuration management #pipes and filters #virtual machine
- Locality-aware dynamic VM reconfiguration on MapReduce clouds (JP, DL, BK, JH, SM), pp. 27–36.
- HPDC-2012-TianKYAWPGW #data transformation #performance
- A system-aware optimized data organization for efficient scientific analytics (YT, SK, WY, HA, BW, NP, RWG, MW), pp. 125–126.
- HPDC-2012-XuGRKKX #cpu #named #scheduling #slicing #virtual machine
- vSlicer: latency-aware virtual machine scheduling via differentiated-frequency CPU slicing (CX, SG, PNR, AK, RRK, DX), pp. 3–14.
- LCTES-2012-HuangZX #architecture #clustering #embedded #realtime
- WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architecture (YH, MZ, CJX), pp. 31–40.
- LCTES-2012-WanWX #memory management
- WCET-aware data selection and allocation for scratchpad memory (QW, HW, JX), pp. 41–50.
- PPoPP-2012-GongHZ #bibliography #in the cloud #network #performance
- An overview of CMPI: network performance aware MPI in the cloud (YG, BH, JZ), pp. 297–298.
- PPoPP-2012-LiuAHLSZWT #gpu #implementation #named
- FlexBFS: a parallelism-aware implementation of breadth-first search on GPU (GL, HA, WH, XL, TS, WZ, XW, XT), pp. 279–280.
- PPoPP-2012-SackG #algorithm #communication #performance
- Faster topology-aware collective algorithms through non-minimal communication (PS, WG), pp. 45–54.
- CAV-2012-0002AH #ml
- Resource Aware ML (JH, KA, MH), pp. 781–786.
- ICST-2012-SunSPR #cost analysis #learning #named #reliability
- CARIAL: Cost-Aware Software Reliability Improvement with Active Learning (BS, GS, AP, SR), pp. 360–369.
- ICTSS-2012-LahamiKBJ #adaptation #architecture #design #distributed #problem #using
- Using Knapsack Problem Model to Design a Resource Aware Test Architecture for Adaptable and Distributed Systems (ML, MK, MB, MJ), pp. 103–118.
- ISSTA-2012-AlshahwanH #detection #fault #testing #web
- State aware test case regeneration for improving web application test suite coverage and fault detection (NA, MH), pp. 45–55.
- ECSA-2011-OrlicDML #architecture #component #concept #configuration management #framework #resource management
- Dynamically Reconfigurable Resource-Aware Component Framework: Architecture and Concepts (BO, ID, RHM, JJL), pp. 212–215.
- WICSA-2011-PetrovBN #analysis #architecture #design #enterprise #multi
- The Need for a Multilevel Context-Aware Software Architecture Analysis and Design Method with Enterprise and System Architecture Concerns as First Class Entities (PP, UB, RLN), pp. 147–156.
- ASE-2011-ApelSWRB #detection #feature model #interactive #using #verification
- Detection of feature interactions using feature-aware verification (SA, HS, PW, AvR, DB), pp. 372–375.
- ASE-2011-WelshSB #requirements #runtime #towards
- Towards requirements aware systems: Run-time resolution of design-time assumptions (KW, PS, NB), pp. 560–563.
- DAC-2011-BanY #layout #modelling #optimisation
- Layout aware line-edge roughness modeling and poly optimization for leakage minimization (YB, JSY), pp. 447–452.
- DAC-2011-CevreroRSBIL #library #logic #power management #standard
- Power-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell library (AC, FR, MS, SB, PI, YL), pp. 1014–1019.
- DAC-2011-ChangC #3d #array #image #metric #performance #quality #specification
- Image quality aware metrics for performance specification of ADC array in 3D CMOS imagers (HMC, KT(C), pp. 759–764.
- DAC-2011-CongHLZ #memory management
- A reuse-aware prefetching scheme for scratchpad memory (JC, HH, CL, YZ), pp. 960–965.
- DAC-2011-CongLS #3d
- Thermal-aware cell and through-silicon-via co-placement for 3D ICs (JC, GL, YS), pp. 670–675.
- DAC-2011-HsuCB #3d #design
- TSV-aware analytical placement for 3D IC designs (MKH, YWC, VB), pp. 664–669.
- DAC-2011-HuangSH #power management
- Progressive network-flow based power-aware broadcast addressing for pin-constrained digital microfluidic biochips (TWH, HYS, TYH), pp. 741–746.
- DAC-2011-HuangYX #multi #scheduling
- Customer-aware task allocation and scheduling for multi-mode MPSoCs (LH, RY, QX), pp. 387–392.
- DAC-2011-IqbalSH #dependence #fault #monte carlo #named #power management #probability #scheduling
- SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies (NI, MAS, JH), pp. 134–139.
- DAC-2011-JungMPL #3d #analysis #optimisation #reliability
- TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC (MJ, JM, DZP, SKL), pp. 188–193.
- DAC-2011-KimG #reliability
- Leakage-aware redundancy for reliable sub-threshold memories (SK, MRG), pp. 435–440.
- DAC-2011-LiuZXL #clustering #hybrid #in memory #memory management #power management
- Power-aware variable partitioning for DSPs with hybrid PRAM and DRAM main memory (TL, YZ, CJX, ML), pp. 405–410.
- DAC-2011-SharifiK #multi #process
- Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
- DAC-2011-ThieleSYB #analysis #embedded #multi #synthesis
- Thermal-aware system analysis and software synthesis for embedded multi-processors (LT, LS, HY, IB), pp. 268–273.
- DAC-2011-ZattSSABH #adaptation #energy #estimation #multi #runtime #video
- Run-time adaptive energy-aware motion and disparity estimation in multiview video coding (BZ, MS, FS, LVA, SB, JH), pp. 1026–1031.
- DAC-2011-ZhouJBHS #library #standard
- A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library (JZ, SJ, BB, LH, JS), pp. 441–446.
- DATE-2011-AnsaloniPTD #array #configuration management #scheduling
- Slack-aware scheduling on Coarse Grained Reconfigurable Arrays (GA, LP, KT, ND), pp. 1513–1516.
- DATE-2011-ChouM #multi #named #resource management
- FARM: Fault-aware resource management in NoC-based multiprocessor platforms (CLC, RM), pp. 673–678.
- DATE-2011-GhasemazarP #architecture #multi #power management
- Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
- DATE-2011-HanumaiahV #manycore #realtime
- Reliability-aware thermal management for hard real-time applications on multi-core processors (VH, SBKV), pp. 137–142.
- DATE-2011-HuangQ #constraints #energy #realtime
- Leakage aware energy minimization for real-time systems under the maximum temperature constraint (HH, GQ), pp. 479–484.
- DATE-2011-JahnFH #adaptation #architecture #migration #multi #named #runtime
- CARAT: Context-aware runtime adaptive task migration for multi core architectures (JJ, MAAF, JH), pp. 515–520.
- DATE-2011-KamalAP
- Timing variation-aware custom instruction extension technique (MK, AAK, MP), pp. 1517–1520.
- DATE-2011-LungHKC #3d #manycore #online #optimisation #throughput
- Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization (CLL, YLH, DMK, SCC), pp. 8–13.
- DATE-2011-MirandaZDR #logic #modelling #variability
- Variability aware modeling for yield enhancement of SRAM and logic (MM, PZ, PD, PR), pp. 1153–1158.
- DATE-2011-PhadkeN #memory management
- MLP aware heterogeneous memory system (SP, SN), pp. 956–961.
- DATE-2011-RinaudoGCMP #approach #design #energy #performance #power management
- Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems (SR, GG, AC, AM, MP), pp. 1127–1128.
- DATE-2011-RoyBC
- Waste-aware dilution and mixing of biochemical samples with digital microfluidic biochips (SR, BBB, KC), pp. 1059–1064.
- DATE-2011-SabrySATLSBTBM #3d #design #towards
- Towards thermally-aware design of 3D MPSoCs with inter-tier cooling (MMS, AS, DA, YT, YL, SS, NB, JRT, TB, BM), pp. 1466–1471.
- DATE-2011-WannerBZAGS #embedded #scheduling #variability
- Variability-aware duty cycle scheduling in long running embedded sensing systems (LFW, RB, SZ, CA, PG, MBS), pp. 131–136.
- DATE-2011-WuM #analysis #optimisation
- Aging-aware timing analysis and optimization considering path sensitization (KCW, DM), pp. 1572–1577.
- DATE-2011-YanC #multi
- Obstacle-aware multiple-source rectilinear Steiner tree with electromigration and IR-drop avoidance (JTY, ZWC), pp. 449–454.
- DocEng-2011-ThaoM #documentation #xml
- Version-aware XML documents (CT, EVM), pp. 97–100.
- HT-2011-KawasePHN
- Beyond the usual suspects: context-aware revisitation support (RK, GP, EH, WN), pp. 27–36.
- HT-2011-WoenselCT #approach #on the fly
- A generic approach for on-the-fly adding of context-aware features to existing websites (WVW, SC, ODT), pp. 143–152.
- ICDAR-2011-ForcherADGR #semantics #towards
- Semantic Logging: Towards Explanation-Aware DAS (BF, SA, AD, MG, TRB), pp. 1140–1144.
- SIGMOD-2011-AngelK #performance
- Efficient diversity-aware search (AA, NK), pp. 781–792.
- SIGMOD-2011-CurinoJMB #database #monitoring
- Workload-aware database monitoring and consolidation (CC, EPCJ, SM, HB), pp. 313–324.
- SIGMOD-2011-HayLMPT #data transformation #network #privacy
- Privacy-aware data management in information networks (MH, KL, GM, JP, ET), pp. 1201–1204.
- SIGMOD-2011-LvCHC
- Operation-aware buffer management in flash-based systems (YL, BC, BH, XC), pp. 13–24.
- SIGMOD-2011-RoyC #database #performance #semantics
- Location-aware type ahead search on spatial databases: semantics and efficiency (SBR, KC), pp. 361–372.
- VLDB-2011-BohmLF #data transformation
- Resiliency-Aware Data Management (MB, WL, CF), pp. 1462–1465.
- VLDB-2011-CohenCD #flexibility #summary
- Structure-Aware Sampling: Flexible and Accurate Summarization (EC, GC, NGD), pp. 819–830.
- VLDB-2011-KimuraNS #database #design #physics
- Compression Aware Physical Database Design (HK, VRN, MS), pp. 657–668.
- TACAS-2011-Holzmann #design #development #reliability
- Reliable Software Development: Analysis-Aware Design (GJH), pp. 1–2.
- ICPC-2011-ZibranR #approach #constraints #programming #refactoring #scheduling
- Conflict-Aware Optimal Scheduling of Code Clone Refactoring: A Constraint Programming Approach (MFZ, CKR), pp. 266–269.
- SCAM-2011-ZibranR #approach #constraints #programming #refactoring #scheduling
- A Constraint Programming Approach to Conflict-Aware Optimal Scheduling of Prioritized Code Clone Refactoring (MFZ, CKR), pp. 105–114.
- WCRE-2011-OlszakRJ #java #runtime
- Meta-Level Runtime Feature Awareness for Java (AO, MR, BNJ), pp. 271–274.
- CIAA-2011-Buchnik #algorithm #multi #named #string
- Bouma2 — A High-Performance Input-Aware Multiple String-Match Algorithm (EB), pp. 53–64.
- SEFM-2011-MoriLDID #adaptation #configuration management #self
- Leveraging State-Based User Preferences in Context-Aware Reconfigurations for Self-Adaptive Systems (MM, FL, CD, PI, SD), pp. 286–301.
- CHI-2011-Hincapie-RamosTB #framework #named #volunteer
- GridOrbit: an infrastructure awareness system for increasing contribution in volunteer computing (JDHR, AT, JEB), pp. 1899–1908.
- CHI-2011-KarlsonSL #ecosystem #experience #version control
- Which version is this?: improving the desktop experience within a copy-aware computing ecosystem (AKK, GS, BL), pp. 2669–2678.
- CHI-2011-LeeD #functional
- Reflecting on pills and phone use: supporting awareness of functional abilities for older adults (MLL, AKD), pp. 2095–2104.
- CSCW-2011-BalesLG #communication #mobile #named
- CoupleVIBE: mobile implicit communication to improve awareness for (long-distance) couples (EB, KAL, WGG), pp. 65–74.
- CSCW-2011-ChenHHLZ #design #feedback #health #self
- Designing for context-aware health self-monitoring, feedback, and engagement (FXC, EBH, JH, SL, CZ), pp. 613–616.
- CSCW-2011-GutwinSXB #distributed
- Chalk sounds: the effects of dynamic synthesized audio on workspace awareness in distributed groupware (CG, OSS, RX, SAB), pp. 85–94.
- CSCW-2011-HuZL #ubiquitous
- Ubiquitous awareness and intelligent solutions lab: Lanzhou University (BH, FZ, LL), pp. 151–158.
- CSCW-2011-Kivran-SwaineN #network #social
- Network properties and social sharing of emotions in social awareness streams (FKS, MN), pp. 379–382.
- CSCW-2011-ZhaoXCY #twitter #wiki
- Integrating Twitter into Wiki to support informal awareness (XZ, WX, CYC, MY), pp. 733–736.
- DHM-2011-MobusEG #composition #predict
- Predicting the Focus of Attention and Deficits in Situation Awareness with a Modular Hierarchical Bayesian Driver Model (CM, ME, HG), pp. 483–492.
- DHM-2011-WegerichR #adaptation #artificial reality
- A Context-Aware Adaptation System for Spatial Augmented Reality (AW, MR), pp. 417–425.
- DUXU-v1-2011-BaltrunasLPR #mobile #recommendation
- Context-Aware Places of Interest Recommendations for Mobile Users (LB, BL, SP, FR), pp. 531–540.
- DUXU-v1-2011-KurodaI #distance
- Impact of Distance to Screen upon Spacial Awareness (KK, MI), pp. 270–276.
- DUXU-v1-2011-SeoLL #development #experience #mobile
- An Experience Sampling System for Context-Aware Mobile Application Development (JS, SL, GL), pp. 648–657.
- DUXU-v2-2011-ArdavanC #3d #exclamation #safety #towards
- Listen! Somebody Is Walking towards Your Car (Introducing the Awareness-3D Sound System into the Driver to Increase the Pedestrian’s Safety) (MA, FC), pp. 89–98.
- HCD-2011-KwakSM #communication #interactive #prototype
- Study of Honest Signal: Bringing Unconscious Channel of Communication into Awareness through Interactive Prototype (YK, TS, JM), pp. 529–536.
- HCD-2011-WangY #semantics
- Semantic-Conditioned Peripheral Vision Acuity Fading Awareness (PVAFA) (MCW, MY), pp. 340–347.
- HCI-ITE-2011-GronliHG #adaptation #android
- Integrated Context-Aware and Cloud-Based Adaptive Home Screens for Android Phones (TMG, JH, GG), pp. 427–435.
- HCI-ITE-2011-HipplerKLPKJ #empirical
- More than Speed? An Empirical Study of Touchscreens and Body Awareness on an Object Manipulation Task (RKH, DSK, LML, GMP, BAK, SDJ), pp. 33–42.
- HCI-MIIE-2011-ChuC
- Safe-in-Place Awareness GPS System with Distance-Based and Duration-Based Notification Control (CNC, GC), pp. 288–292.
- HCI-MIIE-2011-MattheijSBRS #named #towards
- GooGreen: Towards Increasing the Environmental Awareness of Households (RM, LS, LdB, KR, SS), pp. 500–509.
- HIMI-v1-2011-WatanabeMNMN #generative #metric
- Support for Generation of Sympathetic Embodied Awareness: Measurement of Hand Contact Improvisation under Load Fluctuation Stress (TW, YM, GN, NM, HN), pp. 508–518.
- HIMI-v2-2011-ChellaliB #locality #question #what
- What Maps and What Displays for Remote Situation Awareness and ROV Localization? (RC, KB), pp. 364–372.
- HIMI-v2-2011-DaoBBKNMRJ #automation
- Conflict Resolution Automation and Pilot Situation Awareness (AQVD, SLB, LPB, JMK, JHN, KM, HR, WWJ), pp. 473–482.
- HIMI-v2-2011-KikenRBBKSVB #online #performance #tool support
- Effect of ATC Training with NextGen Tools and Online Situation Awareness and Workload Probes on Operator Performance (AK, RCR, LPB, SB, JMK, TZS, KPLV, VB), pp. 483–492.
- HIMI-v2-2011-KrautKBMSCV #effectiveness
- Effects of Data Communications Failure on Air Traffic Controller Sector Management Effectiveness, Situation Awareness, and Workload (JMK, AK, SB, CAM, TZS, DC, KPLV), pp. 493–499.
- IDGD-2011-LiuLGCWL #design #experience #research #user interface
- Exhibition User Experience Research and Design for Applications of Context Awareness Technologies (JL, XL, BG, JC, JW, QL), pp. 533–542.
- OCSC-2011-BaekSH #research #using
- Meet Researcher in the Real World Using the ConAR: Context-Aware Researcher (SWB, JS, TDH), pp. 12–19.
- ICEIS-J-2011-ChaoC11a #clustering #data type #resource management #ubiquitous
- Ubiquitous Resource-Aware Clustering of Data Streams (CMC, GLC), pp. 81–97.
- ICEIS-v1-2011-AliPTD #integration #query
- Data Concern Aware Querying for the Integration of Data Services (MIA, RP, HLT, SD), pp. 111–119.
- ICEIS-v1-2011-ChaoC #clustering #data type #quality #resource management #ubiquitous
- Resource-aware High Quality Clustering in Ubiquitous Data Streams (CMC, GLC), pp. 64–73.
- ICEIS-v3-2011-HafiddiNBAK #approach #architecture
- A Context-aware Service Centric Approach for Service Oriented Architectures (HH, MN, HB, BEA, AK), pp. 176–183.
- ICEIS-v3-2011-SalahH #development #information management #ubiquitous
- Development of Context-aware Applications in Ubiquitous Information Systems (MSB, HSB), pp. 223–228.
- ICEIS-v4-2011-PereiraB #social
- Valuation Framing for Social Software — A Culturally Aware Artifact (RP, MCCB), pp. 235–244.
- ICEIS-v4-2011-ZhongLG #middleware #modelling
- Dynamic Context Modeling based FCA in Context-aware Middleware (ZZ, XL, JG), pp. 103–110.
- CIKM-2011-BjorklundGGG #keyword #network #social
- Workload-aware indexing for keyword search in social networks (TAB, MG, JG, NG), pp. 535–544.
- CIKM-2011-ChenSNC #adaptation #classification #query #self
- Improving context-aware query classification via adaptive self-training (MC, JTS, XN, YC), pp. 115–124.
- CIKM-2011-DaltonBM #retrieval #web
- Coreference aware web object retrieval (JD, RB, PM), pp. 211–220.
- CIKM-2011-GuoCXZ #query #similarity
- Intent-aware query similarity (JG, XC, GX, XZ), pp. 259–268.
- CIKM-2011-JiangLN #concept #personalisation
- Context-aware search personalization with concept preference (DJ, KWTL, WN), pp. 563–572.
- CIKM-2011-LangeN #metric #similarity #why
- Frequency-aware similarity measures: why Arnold Schwarzenegger is always a duplicate (DL, FN), pp. 243–248.
- CIKM-2011-LiBS #analysis #named #network #online #social #towards
- CASINO: towards conformity-aware social influence analysis in online social networks (HL, SSB, AS), pp. 1007–1012.
- CIKM-2011-LymberopoulosZKBL #mobile #predict
- Location-aware click prediction in mobile local search (DL, PZ, ACK, KB, JL), pp. 413–422.
- CIKM-2011-PelekisGVKT #privacy #query
- Privacy-aware querying over sensitive trajectory data (NP, AGD, MV, DK, YT), pp. 895–904.
- CIKM-2011-YanGC #higher-order #learning #query #recommendation
- Context-aware query recommendation by learning high-order relation in query logs (XY, JG, XC), pp. 2073–2076.
- ECIR-2011-ShiLH11a #how #question #recommendation #trust
- How Far Are We in Trust-Aware Recommendation? (YS, ML, AH), pp. 704–707.
- KDD-2011-GeLXTC #cost analysis #recommendation
- Cost-aware travel tour recommendation (YG, QL, HX, AT, JC), pp. 983–991.
- KEOD-2011-BaumgartnerGMRS #data type #reasoning
- Reasoning on Data Streams for Situation Awareness (NB, WG, SM, WR, WS), pp. 407–412.
- KEOD-2011-Yamasaki #distributed
- Sequential Knowledge Structure in Distributed System with Awareness (SY), pp. 293–298.
- KMIS-2011-TangPI #behaviour
- Employees’ Innovation Behavior — The Role of External Information Awareness and Proactiveness of Innovation Strategy (JT, LGP, JI), pp. 5–17.
- RecSys-2011-BaltrunasLR #matrix #recommendation
- Matrix factorization techniques for context aware recommendation (LB, BL, FR), pp. 301–304.
- RecSys-2011-GorgoglionePT #behaviour #recommendation #trust
- The effect of context-aware recommendations on customer purchasing behavior and trust (MG, UP, AT), pp. 85–92.
- RecSys-2011-SaidBLH #challenge #recommendation
- Challenge on context-aware movie recommendation: CAMRa2011 (AS, SB, EWDL, JH), pp. 385–386.
- RecSys-2011-WoerndlHBG #mobile #recommendation
- A model for proactivity in mobile, context-aware recommender systems (WW, JH, RB, DGV), pp. 273–276.
- SEKE-2011-AntunesCCG #development #information management #using
- Using Contextual Information to Improve Awareness in Software Development(S) (BA, JC, PC, PG), pp. 349–352.
- SEKE-2011-BhattacharyaCSK #enterprise #using
- Dynamic Service Choreography using Context Aware Enterprise Service Bus (SB, JC, SS, AK), pp. 319–324.
- SEKE-2011-NunezOGG #framework #order
- Computational Reflection in order to support Context-Awareness in a Robotics Framework (SMN, FO, MG, VGD), pp. 533–538.
- SEKE-2011-Satoh #multi
- Context-aware Services for Multiple-Users (IS), pp. 315–318.
- SIGIR-2011-ChenGWW #named
- iMecho: a context-aware desktop search system (JC, HG, WW, WW), pp. 1269–1270.
- SIGIR-2011-KanhabuaN11a #comparison #ranking
- A comparison of time-aware ranking methods (NK, KN), pp. 1257–1258.
- SIGIR-2011-RendleGFS #performance #recommendation
- Fast context-aware recommendations with factorization machines (SR, ZG, CF, LST), pp. 635–644.
- SIGIR-2011-SantosMO
- Intent-aware search result diversification (RLTS, CM, IO), pp. 595–604.
- OOPSLA-2011-KastnerGREOB #compilation #metaprogramming #parsing #variability
- Variability-aware parsing in the presence of lexical macros and conditional compilation (CK, PGG, TR, SE, KO, TB), pp. 805–824.
- SAC-2011-BruggemannA
- Context-aware replacement operations for data cleaning (SB, HJA), pp. 1700–1704.
- SAC-2011-FreitasHCFPWL
- Analyzing different levels of geographic context awareness in agent ferrying over VANETs (EPdF, TH, LAGC, AMF, CEP, FRW, TL), pp. 413–418.
- SAC-2011-GeihsER0K #adaptation #development #ubiquitous
- Development support for QoS-aware service-adaptation in ubiquitous computing applications (KG, CE, RR, MW, MUK), pp. 197–202.
- SAC-2011-GomesRS #concept #data type #learning
- Learning recurring concepts from data streams with a context-aware ensemble (JBG, EMR, PACS), pp. 994–999.
- SAC-2011-KaoYYC #detection
- A location-aware rogue AP detection system based on wireless packet sniffing of sensor APs (KFK, THY, WSY, HHC), pp. 32–36.
- SAC-2011-LeeLKB #reliability
- A lifespan-aware reliability scheme for RAID-based flash storage (SL, BL, KK, HB), pp. 374–379.
- SAC-2011-LeeLS #manycore #scheduling
- Preemptibility-aware responsive multi-core scheduling (JL, GL, SbS), pp. 748–749.
- SAC-2011-MitraZA #approach #experience #metric #pervasive #probability #quality
- A probabilistic context-aware approach for quality of experience measurement in pervasive systems (KM, ABZ, CÅ), pp. 419–424.
- SAC-2011-ParkPSP #named
- CAVE: channel-aware buffer management scheme for solid state disk (SKP, YP, GS, KHP), pp. 346–353.
- SAC-2011-RahmanHA11a #named #privacy #quantifier #towards
- ProQuPri: towards anonymity protection with privacy quantification for context-aware applications (FR, MEH, SIA), pp. 453–454.
- SAC-2011-SinhaTKWG #analysis #mobile #resource management
- Resource-aware ECG analysis on mobile devices (AS, HT, SK, ABW, MMG), pp. 1012–1013.
- SAC-2011-YatakaTTT #smarttech
- A context-aware audio presentation method in wearable computing (SY, KT, TT, MT), pp. 405–412.
- SAC-2011-YouCXLZ #effectiveness #empirical #testing
- An empirical study on the effectiveness of time-aware test case prioritization techniques (DY, ZC, BX, BL, CZ), pp. 1451–1456.
- SAC-2011-YouYH #framework #pipes and filters
- A load-aware scheduler for MapReduce framework in heterogeneous cloud environments (HHY, CCY, JLH), pp. 127–132.
- SAC-2011-ZhaoGFC #approach #predict #smarttech
- A system context-aware approach for battery lifetime prediction in smart phones (XZ, YG, QF, XC), pp. 641–646.
- ESEC-FSE-2011-Mori #adaptation #lifecycle #process
- A software lifecycle process for context-aware adaptive systems (MM), pp. 412–415.
- ICSE-2011-PengXTYZ #feature model
- Iterative context-aware feature location (XP, ZX, XT, YY, WZ), pp. 900–903.
- SPLC-2011-AlferezP #product line #web #web service
- Context-Aware Autonomous Web Services in Software Product Lines (GHA, VP), pp. 100–109.
- ASPLOS-2011-HoangFJ #compilation
- Exploring circuit timing-aware language and compilation (GH, RBF, RJ), pp. 345–356.
- ASPLOS-2011-HoffmannSCMAR #power management
- Dynamic knobs for responsive power-aware computing (HH, SS, MC, SM, AA, MCR), pp. 199–212.
- CGO-2011-KandemirZLY #locality #multi #optimisation
- Neighborhood-aware data locality optimization for NoC-based multicores (MTK, YZ, JL, TY), pp. 191–200.
- CGO-2011-LiuZDK #manycore #scheduling
- On-chip cache hierarchy-aware tile scheduling for multicore machines (JL, YZ, WD, MTK), pp. 161–170.
- ISMM-2011-AfekDM #memory management
- Cache index-aware memory allocation (YA, DD, AM), pp. 55–64.
- LCTES-2011-ChattopadhyayR #multi
- Static bus schedule aware scratchpad allocation in multiprocessors (SC, AR), pp. 11–20.
- LCTES-2011-JangKLKYKKR #architecture #clustering #configuration management
- An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures (CJ, JK, JL, HSK, DY, SK, HK, SR), pp. 151–160.
- PPoPP-2011-PrabhakarSGK #multi
- QoS aware storage cache management in multi-server environments (RP, SS, RG, MTK), pp. 289–290.
- CAV-2011-DonaldsonKKW #abstraction #concurrent #source code #symmetry
- Symmetry-Aware Predicate Abstraction for Shared-Variable Concurrent Programs (AFD, AK, DK, TW), pp. 356–371.
- ISSTA-2011-JagannathLM
- Change-aware preemption prioritization (VJ, QL, DM), pp. 133–143.
- ECSA-2010-AltiBR #approach #modelling #pervasive #quality
- Context-Aware Quality Model Driven Approach: A New Approach for Quality Control in Pervasive Computing Environments (AA, AB, PR), pp. 441–448.
- ASE-2010-ZhengZLX #generative #random #recommendation #sequence #testing
- Random unit-test generation with MUT-aware sequence recommendation (WZ, QZ, MRL, TX), pp. 293–296.
- DAC-2010-ChuangKSC #optimisation
- Pulsed-latch aware placement for timing-integrity optimization (YLC, SK, YS, YWC), pp. 280–285.
- DAC-2010-El-MoselhyD #probability
- Stochastic dominant singular vectors method for variation-aware extraction (TAEM, LD), pp. 667–672.
- DAC-2010-JangP #design #performance
- Application-aware NoC design for efficient SDRAM access (WJ, DZP), pp. 453–456.
- DAC-2010-KuangB #latency #named
- LATA: a latency and throughput-aware packet processing system (JK, LNB), pp. 36–41.
- DAC-2010-LinC #design
- Cross-contamination aware design methodology for pin-constrained digital microfluidic biochips (CCYL, YWC), pp. 641–646.
- DAC-2010-LinL #graph
- Double patterning lithography aware gridless detailed routing with innovative conflict graph (YHL, YLL), pp. 398–403.
- DAC-2010-LiuKLC #bound #concurrent #multi #thread
- Multi-threaded collision-aware global routing with bounded-length maze routing (WHL, WCK, YLL, KYC), pp. 200–205.
- DAC-2010-SridharanM #embedded #power management #realtime #reliability
- Reliability aware power management for dual-processor real-time embedded systems (RS, RNM), pp. 819–824.
- DAC-2010-YangALLP #3d #analysis #layout #optimisation
- TSV stress aware timing analysis with applications to 3D-IC layout optimization (JSY, KA, YJL, SKL, DZP), pp. 803–806.
- DAC-2010-YuVH #adaptation #multi #realtime #scheduling
- Leakage-aware dynamic scheduling for real-time adaptive applications on multiprocessor systems (HY, BV, YH), pp. 493–498.
- DAC-2010-ZhangC #embedded
- Thermal aware task sequencing on embedded processors (SZ, KSC), pp. 585–590.
- DAC-2010-ZhaoDX #3d #cost analysis #design #manycore
- Cost-aware three-dimensional (3D) many-core multiprocessor design (JZ, XD, YX), pp. 126–131.
- DATE-2010-AyoubSR #multi #named #scheduling
- GentleCool: Cooling aware proactive workload scheduling in multi-machine systems (RZA, SS, TSR), pp. 295–298.
- DATE-2010-BalatsoukaTKC #fault #power management #testing
- Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
- DATE-2010-BaoAEP #energy #optimisation #scalability
- Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling (MB, AA, PE, ZP), pp. 21–26.
- DATE-2010-BeckerGF0PX #design #refinement
- RTOS-aware refinement for TLM2.0-based HW/SW designs (MB, GDG, FF, WM, GP, TX), pp. 1053–1058.
- DATE-2010-BsoulMS #process
- Reliability- and process variation-aware placement for FPGAs (AAMB, NM, LS), pp. 1809–1814.
- DATE-2010-CongHJ #algorithm #behaviour #pattern matching #pattern recognition #recognition #synthesis
- A generalized control-flow-aware pattern recognition algorithm for behavioral synthesis (JC, HH, WJ), pp. 1255–1260.
- DATE-2010-EconomakosXKS #component #configuration management #synthesis
- Construction of dual mode components for reconfiguration aware high-level synthesis (GE, SX, IK, DS), pp. 1357–1360.
- DATE-2010-El-MoselhyD #order #reduction #statistics #using
- Variation-aware interconnect extraction using statistical moment preserving model order reduction (TAEM, LD), pp. 453–458.
- DATE-2010-GupteJ #evaluation #fault #slicing
- An evaluation of a slice fault aware tool chain (AG, PHJ), pp. 1803–1808.
- DATE-2010-HenryN #power management
- From transistors to MEMS: Throughput-aware power gating in CMOS circuits (MBH, LN), pp. 130–135.
- DATE-2010-JooNDSCX #design #energy #memory management
- Energy- and endurance-aware design of phase change memory caches (YJ, DN, XD, GS, NC, YX), pp. 136–141.
- DATE-2010-KootiBLB #configuration management #embedded #realtime #scheduling
- Transition-aware real-time task scheduling for reconfigurable embedded systems (HK, EB, SL, LB), pp. 232–237.
- DATE-2010-LiuZYX #power management #pseudo #testing
- Layout-aware pseudo-functional testing for critical paths considering power supply noise effects (XL, YZ, FY, QX), pp. 1432–1437.
- DATE-2010-LongM10a #dependence #scheduling
- Inversed Temperature Dependence aware clock skew scheduling for sequential circuits (JL, SOM), pp. 1657–1660.
- DATE-2010-MaricauG #complexity #reliability #simulation #variability
- Variability-aware reliability simulation of mixed-signal ICs with quasi-linear complexity (EM, GGEG), pp. 1094–1099.
- DATE-2010-PakbazniaGP #power management #resource management
- Temperature-aware dynamic resource provisioning in a power-optimized datacenter (EP, MG, MP), pp. 124–129.
- DATE-2010-ShafikAC #design #embedded #optimisation #power management
- Soft error-aware design optimization of low power and time-constrained embedded systems (RAS, BMAH, KC), pp. 1462–1467.
- DATE-2010-ShafiqueBH #adaptation #energy #estimation #named #predict #runtime #video
- enBudget: A Run-Time Adaptive Predictive Energy-Budgeting scheme for energy-aware Motion Estimation in H.264/MPEG-4 AVC video encoder (MS, LB, JH), pp. 1725–1730.
- DATE-2010-WangXY #scheduling
- Reuse-aware modulo scheduling for stream processors (LW, JX, XY), pp. 1112–1117.
- DATE-2010-YuZQB #behaviour #design #power management
- Behavioral level dual-vth design for reduced leakage power with thermal awareness (JY, QZ, GQ, JB), pp. 1261–1266.
- DATE-2010-ZhangYDHRL #manycore #symmetry
- Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
- DATE-2010-ZhuoSB #process #reliability
- Process variation and temperature-aware reliability management (CZ, DS, DB), pp. 580–585.
- DocEng-2010-DubucB #clustering #social #social media #topic
- Structure-aware topic clustering in social media (JD, SB), pp. 247–250.
- DRR-2010-ShahabKD #detection #web
- The aware toolbox for the detection of law infringements on web pages (AS, TK, AD), pp. 1–10.
- SIGMOD-2010-ChaudhuriLN #optimisation #query
- Variance aware optimization of parameterized queries (SC, HL, VRN), pp. 531–542.
- SIGMOD-2010-CurtmolaDRS #community #online #privacy #query
- Load-balanced query dissemination in privacy-aware online communities (EC, AD, KKR, DS), pp. 471–482.
- SIGMOD-2010-LiuBCDNA #adaptation #approach #documentation #named
- Pay-as-you-go: an adaptive approach to provide full context-aware text search over document content (ZHL, TB, SC, JD, AN, VA), pp. 1025–1036.
- SIGMOD-2010-OzmenSSD #database #layout
- Workload-aware storage layout for database systems (OO, KS, JS, SD), pp. 939–950.
- SIGMOD-2010-SilvaAAL #database #named
- SimDB: a similarity-aware database system (YNS, AMA, WGA, PÅL), pp. 1243–1246.
- VLDB-2010-AraiDGHK #approach #cost analysis #multi #retrieval
- An Access Cost-Aware Approach for Object Retrieval over Multiple Sources (BA, GD, DG, VH, NK), pp. 1125–1136.
- VLDB-2010-CanimKHM #database #query #relational
- Building Disclosure Risk Aware Query Optimizers for Relational Databases (MC, MK, BH, SM), pp. 13–24.
- VLDB-2010-HerodotouB #named #query
- Xplus: A SQL-Tuning-Aware Query Optimizer (HH, SB), pp. 1149–1160.
- VLDB-2010-IoannouNNV #on the fly #query
- On-the-Fly Entity-Aware Query Processing in the Presence of Linkage (EI, WN, CN, YV), pp. 429–438.
- VLDB-2010-KimuraHRMZ #correlation #database #design #named
- CORADD: Correlation Aware Database Designer for Materialized Views and Indexes (HK, GH, AR, SM, SBZ), pp. 1103–1113.
- VLDB-2010-LevandoskiMK #database #named
- CareDB: A Context and Preference-Aware Location-Based Database System (JJL, MFM, MEK), pp. 1529–1532.
- VLDB-2010-PestiLBIW #mobile #named #network #query #scalability
- RoadTrack: Scaling Location Updates for Mobile Clients on Road Networks with Query Awareness (PP, LL, BB, AI, MW), pp. 1493–1504.
- VLDB-2010-TzoumasDJ #clustering #correlation #query
- Sharing-Aware Horizontal Partitioning for Exploiting Correlations During Query Processing (KT, AD, CSJ), pp. 542–553.
- VLDB-2010-ZhangS #memory management
- The HV-tree: a Memory Hierarchy Aware Version Index (RZ, MS), pp. 397–408.
- VLDB-2011-KhoussainovaKBS #named #sql
- SnipSuggest: Context-Aware Autocompletion for SQL (NK, YK, MB, DS), pp. 22–33.
- FASE-2010-BerardinelliCM #analysis #mobile #modelling #performance
- Performance Modeling and Analysis of Context-Aware Mobile Software Systems (LB, VC, ADM), pp. 353–367.
- FASE-2010-RutleRLW #constraints #formal method #model transformation
- A Formalisation of Constraint-Aware Model Transformations (AR, AR, YL, UW), pp. 13–28.
- CSMR-2010-LanzaHG #collaboration #development #process #realtime #visualisation
- Supporting Collaboration Awareness with Real-Time Visualization of Development Activity (ML, LH, AG), pp. 202–211.
- CSMR-2010-MendeK #fault #modelling #predict
- Effort-Aware Defect Prediction Models (TM, RK), pp. 107–116.
- ICPC-2010-JablonskiH10a #maintenance
- Aiding Software Maintenance with Copy-and-Paste Clone-Awareness (PJ, DH), pp. 170–179.
- ICSM-2010-KameiMMMAH #debugging #modelling #predict #using
- Revisiting common bug prediction findings using effort-aware models (YK, SM, AM, KiM, BA, AEH), pp. 1–10.
- PASTE-2010-NarayanappaBR
- Property-aware program sampling (HN, MSB, HR), pp. 45–52.
- PLDI-2010-KandemirYMSIZ #multi
- Cache topology aware computation mapping for multicores (MTK, TY, SPM, SS, MJI, YZ), pp. 74–85.
- SEFM-2010-KokashKV #analysis #modelling #visual notation
- Time and Data-Aware Analysis of Graphical Service Models in Reo (NK, CK, EPdV), pp. 125–134.
- CHI-2010-BirnholtzJ #comprehension #independence #interactive #privacy
- Independence and interaction: understanding seniors’ privacy and awareness needs for aging in place (JPB, MJR), pp. 143–152.
- CHI-2010-MaitlandC #self
- Self-monitoring, self-awareness, and self-determination in cardiac rehabilitation (JM, MC), pp. 1213–1222.
- CHI-2010-MarquardtTVG #interactive
- Rethinking RFID: awareness and control for interaction with RFID systems (NM, AST, NV, SG), pp. 2307–2316.
- CHI-2010-PielotKB
- Where is my team: supporting situation awareness with tactile displays (MP, OK, SB), pp. 1705–1714.
- CHI-2010-SongGGF #interactive #mobile #named #using
- MouseLight: bimanual interactions on digital paper using a pen and a spatially-aware mobile projector (HS, FG, TG, GWF), pp. 2451–2460.
- CHI-2010-ViewegHSP #microblog #twitter #what
- Microblogging during two natural hazards events: what twitter may contribute to situational awareness (SV, ALH, KS, LP), pp. 1079–1088.
- CHI-2010-VogelB #interface
- Occlusion-aware interfaces (DV, RB), pp. 263–272.
- CSCW-2010-BirnholtzGHB #quote
- “On my way”: deceptive texting and interpersonal awareness narratives (JPB, JG, JTH, NNB), pp. 1–4.
- CSCW-2010-IqbalH #case study
- Notifications and awareness: a field study of alert usage and preferences (STI, EH), pp. 27–30.
- CSCW-2010-NaamanBL #social
- Is it really about me?: message content in social awareness streams (MN, JB, CHL), pp. 189–192.
- CSCW-2010-StollEM #coordination #ecosystem
- Interorganizational coordination and awareness in a nonprofit ecosystem (JS, WKE, EDM), pp. 51–60.
- AdaEurope-2010-MezzettiBRV #development
- Cache-Aware Development of High-Integrity Systems (EM, AB, JR, TV), pp. 139–152.
- CAiSE-2010-HolmesZDD #internet #monitoring
- Monitoring and Analyzing Service-Based Internet Systems through a Model-Aware Service Environment (TH, UZ, FD, SD), pp. 98–112.
- CAiSE-2010-LyRD #design #graph #information management #verification
- Design and Verification of Instantiable Compliance Rule Graphs in Process-Aware Information Systems (LTL, SRM, PD), pp. 9–23.
- ICEIS-DISI-2010-Dey #usability
- Usability and Context-aware Systems (AKD), p. 23.
- ICEIS-HCI-2010-WeinbergerGR #architecture
- Context-aware Search Architecture (HW, OG, KR), pp. 71–78.
- ICEIS-ISAS-2010-MonfortHK #navigation #towards
- Towards Extending IMS LD with Services and Context Awareness — Application to a Navigation and Fishing Simulator (VM, SH, MK), pp. 80–91.
- ICEIS-ISAS-2010-ShishkovWS #energy #on the
- On the Application of Autonomic and Context-aware Computing to Support Home Energy Management (BS, MW, MvS), pp. 307–313.
- CIKM-2010-BonifatiWL #distributed #named #peer-to-peer #privacy #social
- SPac: a distributed, peer-to-peer, secure and privacy-aware social space (AB, WHW, RL), pp. 1953–1954.
- CIKM-2010-DemartiniMBZ #named
- TAER: time-aware entity retrieval-exploiting the past to find relevant entities in news articles (GD, MMSM, RB, HZ), pp. 1517–1520.
- CIKM-2010-KameshwaranPMVD #interactive #network #ranking
- Outcome aware ranking in interaction networks (SK, VP, SM, NV, KD), pp. 229–238.
- ICPR-2010-TangS #automation #image
- De-ghosting for Image Stitching with Automatic Content-Awareness (YT, JS), pp. 2210–2213.
- KMIS-2010-EtoMKM #evaluation #process
- Externalization Method and Its Evaluation of Know-how Information for Care Planning Processes by Awareness of Novices (KE, MM, YK, TM), pp. 201–206.
- KMIS-2010-GottesheimMRSB #named #workflow
- WorkAware — Situation-aware Workflow Management (WG, SM, WR, WS, NB), pp. 246–252.
- RecSys-2010-CebrianPVA #music #recommendation
- Music recommendations with temporal context awareness (TC, MP, PV, XA), pp. 349–352.
- RecSys-2010-JancsaryNT #personalisation #semantics #towards
- Towards context-aware personalization and a broad perspective on the semantics of news articles (JJ, FN, HT), pp. 289–292.
- RecSys-2010-KaratzoglouABO #collaboration #multi #recommendation
- Multiverse recommendation: n-dimensional tensor factorization for context-aware collaborative filtering (AK, XA, LB, NO), pp. 79–86.
- SIGIR-2010-GoharianM #classification #query #using
- Context aware query classification using dynamic query window and relationship net (NG, SSRM), pp. 723–724.
- SIGIR-2010-SallesRPMMG #algorithm #classification #documentation
- Temporally-aware algorithms for document classification (TS, LCdR, GLP, FM, WMJ, MAG), pp. 307–314.
- SIGIR-2010-XiangJPSCL #ranking #web
- Context-aware ranking in web search (BX, DJ, JP, XS, EC, HL), pp. 451–458.
- OOPSLA-2010-MatsakisG #type system
- A time-aware type system for data-race protection and guaranteed initialization (NDM, TRG), pp. 634–651.
- RE-2010-SawyerBWLF #adaptation #research #self
- Requirements-Aware Systems: A Research Agenda for RE for Self-adaptive Systems (PS, NB, JW, EL, AF), pp. 95–103.
- SAC-2010-BaeCK
- Flash-aware record management method (DHB, JWC, SWK), pp. 554–555.
- SAC-2010-BaiXL #virtual machine
- Task-aware based co-scheduling for virtual machine system (YB, CX, ZL), pp. 181–188.
- SAC-2010-BernalFMD #approach #identification #process #rule-based
- Dynamic context-aware business process: a rule-based approach supported by pattern identification (JFMB, PF, MM, JD), pp. 470–474.
- SAC-2010-HummelMH #development #enterprise #evolution #information management #towards
- Towards quality-aware development and evolution of enterprise information systems (OH, CM, SH), pp. 137–144.
- SAC-2010-KokashKV #composition #design #verification
- Data-aware design and verification of service compositions with Reo and mCRL2 (NK, CK, EPdV), pp. 2406–2413.
- SAC-2010-MacielNJIS #interactive #using
- Reality cues-based interaction using whole-body awareness (AM, LPN, VAMJ, JMTI, LFMSS), pp. 1224–1228.
- SAC-2010-MacielNMMMF #collaboration #interactive
- Collaborative interaction through spatially aware moving displays (AM, LPN, EMM, MHM, GMM, CMDSF), pp. 1229–1233.
- SAC-2010-Niu #energy #realtime #scalability
- Energy-aware dual-mode voltage scaling for weakly hard real-time systems (LN), pp. 321–325.
- SAC-2010-RyuLYS #clustering #file system
- Flash-aware cluster allocation method based on filename extension for FAT file system (SR, CL, SY, SS), pp. 502–509.
- SAC-2010-StammAMZ #mobile
- Mobile intelligent interruptions management (MIIM): a context aware unavailability system (KS, SIA, PM, SZ), pp. 599–600.
- SAC-2010-WeiC #adaptation #mobile #semantics #towards
- Towards semantic-based adaptation decisions for context-aware mobile computing (EJYW, ATSC), pp. 563–567.
- SAC-2010-YuRCW #execution #scheduling
- Profit and penalty aware (PP-aware) scheduling for tasks with variable task execution time (YY, SR, NC, XW), pp. 334–339.
- ICSE-2010-DiosDSBCE #development #modelling #named #user interface
- SSG: a model-based development environment for smart, security-aware GUIs (MAGdD, CD, MS, DAB, MC, ME), pp. 311–312.
- ICSE-2010-Fritz
- Staying aware of relevant feeds in context (TF), pp. 523–524.
- ICSE-2010-HolmesW #recommendation
- Customized awareness: recommending relevant external change events (RH, RJW), pp. 465–474.
- ICSE-2010-LunaBGR #agile #development #flexibility #testing #web
- A flexible tool suite for change-aware test-driven development of web applications (ERL, JB, JG, GR), pp. 297–298.
- ICSE-2010-TreudeS #developer #using
- Awareness 2.0: staying aware of projects, developers and tasks using dashboards and feeds (CT, MADS), pp. 365–374.
- SPLC-2010-MarinhoLFRMADVAT #mobile #product line
- A Software Product Line for the Mobile and Context-Aware Applications Domain (FGM, FL, JBFF, LSR, MEFM, SBdA, VLLD, WV, RMCA, ET), pp. 346–360.
- ASPLOS-2010-RomanescuLS #consistency #memory management #specification #verification
- Specifying and dynamically verifying address translation-aware memory consistency (BFR, ARL, DJS), pp. 323–334.
- ASPLOS-2010-SudanCNABD #named #performance
- Micro-pages: increasing DRAM efficiency with locality-aware data placement (KS, NC, DWN, MA, RB, AD), pp. 219–230.
- CC-2010-Hoflehner
- Strategies for Predicate-Aware Register Allocation (GH), pp. 185–204.
- CGO-2010-MarsVHS #detection #execution #online
- Contention aware execution: online contention detection and response (JM, NV, RH, MLS), pp. 257–265.
- HPCA-2010-KaseridisSCJ #resource management #scalability #using
- A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large CMP systems (DK, JS, JC, LKJ), pp. 1–11.
- HPDC-2010-AbbasiVG #internet
- Thermal aware server provisioning and workload distribution for internet data centers (ZA, GV, SKSG), pp. 130–141.
- HPDC-2010-ChenSTS #optimisation
- A layout-aware optimization strategy for collective I/O (YC, HS, RT, XHS), pp. 360–363.
- HPDC-2010-RoderoJQPG #energy #towards
- Towards energy-aware autonomic provisioning for virtualized environments (IR, JJ, AQ, MP, FG), pp. 320–323.
- LCTES-2010-PykaKMM #approach #embedded #framework
- Versatile system-level memory-aware platform description approach for embedded MPSoCs (RP, FK, PM, SM), pp. 9–16.
- LCTES-2010-WangLWQSG #memory management #named
- RNFTL: a reuse-aware NAND flash translation layer for flash memory (YW, DL, MW, ZQ, ZS, YG), pp. 163–172.
- PPoPP-2010-GuoZCS #adaptation #manycore #named #scalability
- SLAW: a scalable locality-aware adaptive work-stealing scheduler for multi-core systems (YG, YZ, VC, VS), pp. 341–342.
- WICSA-ECSA-2009-RodriguezDCJ #adaptation #architecture #communication #configuration management #modelling #multi
- A model-based multi-level architectural reconfiguration applied to adaptability management in context-aware cooperative communication support systems (IBR, KD, CC, MJ), pp. 353–356.
- ASE-2009-NguyenNPAN #configuration management
- Clone-Aware Configuration Management (TTN, HAN, NHP, JMAK, TNN), pp. 123–134.
- DAC-2009-AyalaAB #analysis #data flow
- Thermal-aware data flow analysis (JLA, DA, PB), pp. 613–614.
- DAC-2009-BaoAEP #dependence #energy #online #optimisation #scalability
- On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration (MB, AA, PE, ZP), pp. 490–495.
- DAC-2009-Falk #graph
- WCET-aware register allocation based on graph coloring (HF), pp. 726–731.
- DAC-2009-FalkK
- Optimal static WCET-aware scratchpad allocation of program code (HF, JCK), pp. 732–737.
- DAC-2009-JangP
- An SDRAM-aware router for Networks-on-Chip (WJ, DZP), pp. 800–805.
- DAC-2009-JiangHCC #multi
- Spare-cell-aware multilevel analytical placement (ZWJ, MKH, YWC, KYC), pp. 430–435.
- DAC-2009-LinC #design
- ILP-based pin-count aware design methodology for microfluidic biochips (CCYL, YWC), pp. 258–263.
- DAC-2009-PapirlaC #energy #fault
- Energy-aware error control coding for Flash memories (VP, CC), pp. 658–663.
- DAC-2009-TsaiH
- A false-path aware formal static timing analyzer considering simultaneous input transitions (ST, CYH), pp. 25–30.
- DATE-2009-AraniHPCYPTC #3d #reliability
- Reliability aware through silicon via planning for 3D stacked ICs (ASA, XH, HP, CKC, WY, MP, TT, XC), pp. 288–291.
- DATE-2009-DoriolVFRGP #design
- EMC-aware design on a microcontroller for automotive applications (PJD, YV, CF, MR, GG, DP), pp. 1208–1213.
- DATE-2009-FummiPQA #energy #flexibility #network #simulation
- Flexible energy-aware simulation of heterogenous wireless sensor networks (FF, GP, DQ, AA), pp. 1638–1643.
- DATE-2009-GolshanB #composition #design
- SEU-aware resource binding for modular redundancy based designs on FPGAs (SG, EB), pp. 1124–1129.
- DATE-2009-HongNKO #concurrent #multi #process #thread
- Process variation aware thread mapping for Chip Multiprocessors (SH, SHKN, MTK, ÖÖ), pp. 821–826.
- DATE-2009-HsiehH #3d #design #memory management
- Thermal-aware memory mapping in 3D designs (ACH, TH), pp. 1361–1366.
- DATE-2009-HsiehLH #reduction
- A physical-location-aware X-filling method for IR-drop reduction in at-speed scan test (WWH, ISL, TH), pp. 1234–1237.
- DATE-2009-HuangYX #scheduling
- Lifetime reliability-aware task allocation and scheduling for MPSoC platforms (LH, FY, QX), pp. 51–56.
- DATE-2009-KhajehGDKEKA #design #memory management #named #reliability
- TRAM: A tool for Temperature and Reliability Aware Memory Design (AK, AG, ND, FJK, AME, KSK, MSA), pp. 340–345.
- DATE-2009-KimYK #online #runtime #scalability
- Program phase and runtime distribution-aware online DVFS for combined Vdd/Vbb scaling (JK, SY, CMK), pp. 417–422.
- DATE-2009-LiWSDS #communication #latency
- Latency criticality aware on-chip communication (ZL, JW, LS, RPD, YS), pp. 1052–1057.
- DATE-2009-LuCLS #co-evolution #design
- Package routability- and IR-drop-aware finger/pad assignment in chip-package co-design (CHL, HMC, CNJL, WYS), pp. 845–850.
- DATE-2009-MohammadZadehMJZ #multi #network
- Multi-domain clock skew scheduling-aware register placement to optimize clock distribution network (NM, MM, AJ, MSZ), pp. 833–838.
- DATE-2009-MylavarapuCSLG #file system #named
- FSAF: File system aware flash translation layer for NAND Flash Memories (SKM, SC, AS, JL, TG), pp. 399–404.
- DATE-2009-SasanHEK #process #scalability
- Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling (AS, HH, AME, FJK), pp. 911–916.
- DATE-2009-VishnoiPB #debugging
- Cache aware compression for processor debug support (AV, PRP, MB), pp. 208–213.
- DATE-2009-WangHZC #design
- Exploiting narrow-width values for thermal-aware register file designs (SW, JSH, SGZ, SWC), pp. 1422–1427.
- DATE-2009-WuCK #design
- A file-system-aware FTL design for flash-memory storage systems (PLW, YHC, TWK), pp. 393–398.
- DATE-2009-WuLZSX #hybrid #performance
- Power and performance of read-write aware Hybrid Caches with non-volatile memories (XW, JL, LZ, ES, YX), pp. 737–742.
- DATE-2009-YeoK #behaviour #manycore
- Temperature-aware scheduler based on thermal behavior grouping in multicore systems (IY, EJK), pp. 946–951.
- DATE-2009-ZhengH #array #logic #programmable #satisfiability
- Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability (YZ, CH), pp. 1279–1283.
- DocEng-2009-BertholdoVA #documentation #readability
- Layout-aware limiarization for readability enhancement of degraded historical documents (FB, EV, AdAA), pp. 131–134.
- SIGMOD-2009-HanL #manycore #optimisation #order #query
- Dependency-aware reordering for parallelizing query optimization in multi-core CPUs (WSH, JL), pp. 45–58.
- SIGMOD-2009-YalamanchiG #data type
- Compensation-aware data types in RDBMS (AY, DG), pp. 931–938.
- VLDB-2009-TzoumasYJ
- Workload-Aware Indexing of Continuously Moving Objects (KT, MLY, CSJ), pp. 1186–1197.
- VLDB-2009-WangL #mobile #network #privacy
- Privacy-Aware Mobile Services over Road Networks (TW, LL), pp. 1042–1053.
- FASE-2009-AutiliBI #adaptation #approach
- Context-Aware Adaptive Services: The PLASTIC Approach (MA, PDB, PI), pp. 124–139.
- CSMR-2009-AversanoT #empirical #maintenance
- Business Process-Aware Maintenance Task: A Preliminary Empirical Study (LA, MT), pp. 233–236.
- ICSM-2009-VoigtBD #execution
- Object aware execution trace exploration (SV, JB, JD), pp. 201–210.
- PLDI-2009-HoffmanEJ #analysis #semantics
- Semantics-aware trace analysis (KJH, PE, SJ), pp. 453–464.
- SAS-2009-NiedzielskiRGP #bound #constraints #control flow
- A Verifiable, Control Flow Aware Constraint Analyzer for Bounds Check Elimination (DN, JvR, AG, KP), pp. 137–153.
- CHI-2009-BrushMSV
- Exploring awareness needs and information display preferences between coworkers (AJBB, BM, JS, GV), pp. 2091–2094.
- CHI-2009-ChungS
- Going my way: a user-aware route planner (JC, CS), pp. 1899–1902.
- CHI-2009-CramerESW #adaptation #interactive #trust
- Awareness, training and trust in interaction with adaptive spam filters (HSMC, VE, MvS, BJW), pp. 909–912.
- CHI-2009-DeyN
- Support for context-aware intelligibility and control (AKD, AN), pp. 859–868.
- CHI-2009-HancockBBGPA #design
- Butler lies: awareness, deception and design (JTH, JPB, NNB, JG, JP, BA), pp. 517–526.
- CHI-2009-LimDA #why
- Why and why not explanations improve the intelligibility of context-aware intelligent systems (BYL, AKD, DA), pp. 2119–2128.
- CHI-2009-LoveJTH #assessment #learning #predict
- Learning to predict information needs: context-aware display as a cognitive aid and an assessment tool (BCL, MJ, MTT, MH), pp. 1351–1360.
- CHI-2009-MoscovichCHPF #navigation #network #scalability
- Topology-aware navigation in large networks (TM, FC, NH, EP, JDF), pp. 2319–2328.
- CHI-2009-TuddenhamR #collaboration #coordination
- Territorial coordination and workspace awareness in remote tabletop collaboration (PT, PR), pp. 2139–2148.
- DHM-2009-BaumannK #comprehension
- A Comprehension Based Cognitive Model of Situation Awareness (MRKB, JFK), pp. 192–201.
- DHM-2009-MahmudVLC #ubiquitous
- The Five Commandments of Activity-Aware Ubiquitous Computing Applications (NM, JV, KL, KC), pp. 257–264.
- DHM-2009-RobbinsCM #architecture #human-computer #modelling
- Bridging the Gap between HCI and DHM: The Modeling of Spatial Awareness within a Cognitive Architecture (BR, DWC, AM), pp. 295–304.
- HCD-2009-KremsB #process
- Driving and Situation Awareness: A Cognitive Model of Memory-Update Processes (JFK, MRKB), pp. 986–994.
- HCI-AUII-2009-DongR #multi
- Context Awareness and Perceived Interactivity in Multimedia Computing (XD, PLPR), pp. 21–29.
- HCI-AUII-2009-FanS #3d #navigation #user interface #using
- Pull and Push: Proximity-Aware User Interface for Navigating in 3D Space Using a Handheld Camera (MF, YS), pp. 133–140.
- HCI-AUII-2009-LeeSW #architecture #user interface
- Context-Aware Cognitive Agent Architecture for Ambient User Interfaces (YL, CS, WW), pp. 456–463.
- HCI-AUII-2009-SaariKSRR #information management #mobile
- A Mobile and Desktop Application for Enhancing Group Awareness in Knowledge Work Teams (TS, KK, MS, NR, MR), pp. 95–104.
- HCI-AUII-2009-TakadaOKT #navigation #smarttech #using
- A Context-Aware AR Navigation System Using Wearable Sensors (DT, TO, KK, HT), pp. 793–801.
- HCI-NIMT-2009-MiwaIWIN
- Shadow Awareness: Bodily Expression Supporting System with Use of Artificial Shadow (YM, SI, TW, KI, HN), pp. 226–235.
- HCI-NT-2009-EliassonPR #approach #design #interactive
- Embodied Interaction or Context-Aware Computing? An Integrated Approach to Design (JE, TCP, RR), pp. 606–615.
- HIMI-DIE-2009-PerezGM #community #information management #quality
- A Proposal of Awareness Services for the Construction of Quality Community Knowledge Supported by the Knowledge Management System KnowCat (RCP, IDCG, JML), pp. 365–374.
- HIMI-II-2009-DaoBBVSJ #automation
- The Impact of Automation Assisted Aircraft Separation on Situation Awareness (AQVD, SLB, VB, KPLV, TZS, WWJ), pp. 738–747.
- HIMI-II-2009-KimHPPPKH
- An OWL-Based Knowledge Model for Combined-Process-and-Location Aware Service (GK, MH, JP, HP, SHP, LK, SH), pp. 159–167.
- HIMI-II-2009-StrybelMNPV #online #optimisation
- Optimizing Online Situation Awareness Probes in Air Traffic Management Tasks (TZS, KM, JHN, RP, KPLV), pp. 845–854.
- HIMI-II-2009-VuMNKRBS #performance #student
- Situation Awareness and Performance of Student versus Experienced Air Traffic Controllers (KPLV, KM, JHN, JMK, HR, VB, TZS), pp. 865–874.
- OCSC-2009-FalsteinR #multi
- The First Two W’s: Fostering Multicultural Awareness in Children (NF, NR), pp. 462–470.
- CAiSE-2009-GuermoucheG #analysis #web #web service
- Asynchronous Timed Web Service-Aware Choreography Analysis (NG, CG), pp. 364–378.
- CAiSE-2009-PichlerWE #web #web service
- Composing Time-Aware Web Service Orchestrations (HP, MW, JE), pp. 349–363.
- CAiSE-2009-YuR #automation #collaboration
- Automated Context-Aware Service Selection for Collaborative Systems (HY, SRM), pp. 261–274.
- EDOC-2009-RosenbergCMLD #approach #composition
- An End-to-End Approach for QoS-Aware Service Composition (FR, PC, AM, PL, SD), pp. 151–160.
- ICEIS-DISI-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- ICEIS-AIDSS-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- ICEIS-DISI-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- ICEIS-HCI-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- ICEIS-ISAS-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- ICEIS-J-2009-AsriKNKB #component #multi #web #web service
- Multiview Components for User-Aware Web Services (BEA, AK, MN, AK, AB), pp. 196–207.
- ICEIS-J-2009-AversanoT #maintenance #process
- Business Process-Awareness in the Maintenance Activities (LA, MT), pp. 577–589.
- ICEIS-SAIC-2009-Damiani #collaboration #process
- Risk-aware Collaborative Processes (ED), p. 29.
- CIKM-2009-ChristenGH #realtime
- Similarity-aware indexing for real-time entity resolution (PC, RWG, DH), pp. 1565–1568.
- CIKM-2009-DengKL #retrieval #using
- Enhancing expertise retrieval using community-aware strategies (HD, IK, MRL), pp. 1733–1736.
- CIKM-2009-JinSLY #algorithm #flexibility #simulation
- A flexible simulation environment for flash-aware algorithms (PJ, XS, ZL, LY), pp. 2093–2094.
- CIKM-2009-LiFW #database #keyword
- Structure-aware indexing for keyword search in databases (GL, JF, JW), pp. 1453–1456.
- CIKM-2009-NaLM
- Dynamic in-page logging for flash-aware B-tree index (GJN, SWL, BM), pp. 1485–1488.
- CIKM-2009-StoyanovichA #clustering #dataset
- Rank-aware clustering of structured datasets (JS, SAY), pp. 1429–1432.
- CIKM-2009-WuBY #xml
- Workload-aware trie indices for XML (YW, SB, HY), pp. 1425–1428.
- ECIR-2009-AltingovdeOU #cost analysis #query #web
- A Cost-Aware Strategy for Query Result Caching in Web Search Engines (ISA, RO, ÖU), pp. 628–636.
- ECIR-2009-ZhuWTC #information retrieval
- Risk-Aware Information Retrieval (JZ, JW, MJT, IJC), pp. 17–28.
- ICML-2009-LuJD #geometry #learning #metric
- Geometry-aware metric learning (ZL, PJ, ISD), pp. 673–680.
- KDD-2009-LappasAPKG #documentation #on the #sequence
- On burstiness-aware search for document sequences (TL, BA, MP, DK, DG), pp. 477–486.
- KEOD-2009-CastelaT #enterprise #process
- Enhancing Organizational Self Awareness — The As-is Enterprise Model Dynamic Updating Process (NC, JMT), pp. 315–320.
- KMIS-2009-GallupMZRO #approach #collaboration #information management #self
- Facilitating Decision Making, Re-use and Collaboration — A Knowledge Management Approach for System Self-Awareness (SPG, DJM, YZ, JR, CO), pp. 236–241.
- MLDM-2009-RosenthalVHHL
- Drift-Aware Ensemble Regression (FR, PBV, MH, DH, WL), pp. 221–235.
- RecSys-2009-AntonelliFGL #named #recommendation
- DynamicTV: a culture-aware recommender (FA, GF, MG, SL), pp. 257–260.
- RecSys-2009-PannielloTGPP #comparison #recommendation
- Experimental comparison of pre- vs. post-filtering approaches in context-aware recommender systems (UP, AT, MG, CP, AP), pp. 265–268.
- RecSys-2009-Recio-GarciaJSD #recommendation
- Personality aware recommendations to groups (JARG, GJD, AASRG, BDA), pp. 325–328.
- RecSys-2009-Tavakolifard #trust
- Situation-aware trust management (MT), pp. 413–416.
- SIGIR-2009-CaoHSJSCY #classification #query
- Context-aware query classification (HC, DHH, DS, DJ, JTS, EC, QY), pp. 3–10.
- ECMDA-FA-2009-DanielePS #approach #behaviour #mobile #modelling
- An MDA-Based Approach for Behaviour Modelling of Context-Aware Mobile Applications (LD, LFP, MvS), pp. 206–220.
- MoDELS-2009-HelmingKNDS
- Traceability-Based Change Awareness (JH, MK, HN, JD, AS), pp. 372–376.
- MoDELS-2009-HelmingKNDS
- Traceability-Based Change Awareness (JH, MK, HN, JD, AS), pp. 372–376.
- OOPSLA-2009-Ogasawara #garbage collection #memory management #thread
- NUMA-aware memory manager with dominant-thread-based copying GC (TO), pp. 377–390.
- OOPSLA-2009-SongT #program transformation #programming #tool support
- Enhancing source-level programming tools with an awareness of transparent program transformations (MS, ET), pp. 301–320.
- SAC-2009-ChiangWLC #clustering #multi #policy #web
- New content-aware request distribution policies in web clusters providing multiple services (MLC, CHW, YJL, YFC), pp. 79–83.
- SAC-2009-DelicatoSPOBP #adaptation #aspect-oriented #composition #mobile #using
- Using aspects and dynamic composition to provide context-aware adaptation for mobile applications (FCD, ILAS, PFP, ALSO, TVB, LP), pp. 456–460.
- SAC-2009-KokashCRI #flexibility #network #protocol
- A flexible QoS-aware routing protocol for infrastructure-less B3G networks (NK, RSC, PGR, VI), pp. 212–216.
- SAC-2009-MartinsBPS #feedback #information retrieval
- Implicit relevance feedback for context-aware information retrieval in UbiLearning environments (DSM, MB, AFdP, WLdS), pp. 659–663.
- SAC-2009-PetrucciLM #adaptation #clustering #framework #power management
- A framework for dynamic adaptation of power-aware server clusters (VP, OL, DM), pp. 1034–1039.
- SAC-2009-PhilipA #ad hoc #maintenance #network
- Mobility aware path maintenance in ad hoc networks (SJP, VA), pp. 201–206.
- SAC-2009-SantosHS
- Latency-aware leader election (NS, MH, AS), pp. 1056–1061.
- SAC-2009-ZurowskaD #execution #web #web service
- Load management in model-aware execution of composite web services (KZ, RD), pp. 2134–2139.
- ESEC-FSE-2009-YusufSP #component #grid #reliability
- Evaluating recovery aware components for grid reliability (IIY, HWS, IDP), pp. 277–280.
- ICSE-2009-ShengPYWNM #agile #development #flexibility #framework #named #web #web service
- ContextServ: A platform for rapid and flexible development of context-aware Web services (QZS, SP, JY, HSW, AHHN, ZM), pp. 619–622.
- ICSE-2009-WlokaRT #named #testing
- JUnitMX — A change-aware unit testing tool (JW, BGR, FT), pp. 567–570.
- SPLC-2009-ParraBD #product line
- Context awareness for dynamic service-oriented product lines (CAP, XB, LD), pp. 131–140.
- CC-2009-FarooqJ #architecture #data flow #scheduling
- Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow Architectures (MUF, LKJ), pp. 190–203.
- HPCA-2009-FuLF #fault #process
- Soft error vulnerability aware process variation mitigation (XF, TL, JABF), pp. 93–104.
- HPCA-2009-HerbertM #scalability
- Variation-aware dynamic voltage/frequency scaling (SH, DM), pp. 301–312.
- LCTES-2009-OhEPM #architecture #configuration management #scheduling
- Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures (TO, BE, HP, SAM), pp. 21–30.
- PPoPP-2009-BhateleBK #api #case study
- Topology aware task mapping techniques: an api and case study (AB, EJB, LVK), pp. 301–302.
- PPoPP-2009-YauKZDP #parallel #simulation
- Application-aware management of parallel simulation collections (SY, VK, DZ, KD, SGP), pp. 35–44.
- ISSTA-2009-ZhangHGXM #integer #linear #programming #testing #using
- Time-aware test-case prioritization using integer linear programming (LZ, SSH, CG, TX, HM), pp. 213–224.
- VMCAI-2009-EtessamiG #programming #transaction
- An Abort-Aware Model of Transactional Programming (KE, PG), pp. 59–73.
- CBSE-2008-KoziolekBHR #component #lifecycle #modelling
- Life-Cycle Aware Modelling of Software Components (HK, SB, JH, RHR), pp. 278–285.
- ASE-2008-KhalekELK #constraints #generative #relational #testing #theorem proving #using
- Query-Aware Test Generation Using a Relational Constraint Solver (SAK, BE, YOL, SK), pp. 238–247.
- CASE-2008-LiMAM #multi #network #scheduling
- Low-complexity Channel-Aware Scheduling for multichannel wireless local area networks (YL, SM, PA, SFM), pp. 133–138.
- CASE-2008-StabelliniZ #approach #learning #network #self
- Interference aware self-organization for wireless sensor networks: A reinforcement learning approach (LS, JZ), pp. 560–565.
- DAC-2008-ChangWSC #algorithm #energy #multi #named
- ETAHM: an energy-aware task allocation algorithm for heterogeneous multiprocessor (PCC, IWW, JJJS, CPC), pp. 776–779.
- DAC-2008-ChenCP #framework
- An integrated nonlinear placement framework with congestion and porosity aware buffer planning (TCC, AC, DZP), pp. 702–707.
- DAC-2008-ChoYBP #named #performance #predict
- ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction (MC, KY, YB, DZP), pp. 504–509.
- DAC-2008-HsuW #algorithm #memory management #network #power management
- A generalized network flow based algorithm for power-aware FPGA memory mapping (TYH, TCW), pp. 30–33.
- DAC-2008-LaiWGLD #architecture
- A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers (McL, ZW, LG, HL, KD), pp. 630–633.
- DAC-2008-LiuMZM #architecture
- A power and temperature aware DRAM architecture (SL, SOM, YZ, GM), pp. 878–883.
- DAC-2008-MoselhyD #equation #performance #probability
- Stochastic integral equation solver for efficient variation-aware interconnect extraction (TM, LD), pp. 415–420.
- DAC-2008-NiM #power management #reduction #scheduling
- Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction (MN, SOM), pp. 610–613.
- DAC-2008-SenguptaS #design
- Application-driven floorplan-aware voltage island design (DS, RAS), pp. 155–160.
- DAC-2008-SridharanGM #embedded #power management #realtime
- Feedback-controlled reliability-aware power management for real-time embedded systems (RS, NG, RNM), pp. 185–190.
- DATE-2008-BaoAEP #energy #optimisation
- Temperature-Aware Voltage Selection for Energy Optimization (MB, AA, PE, ZP), pp. 1083–1086.
- DATE-2008-ChantemDH #realtime #scheduling
- Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs (TC, RPD, XSH), pp. 288–293.
- DATE-2008-ChouM
- User-Aware Dynamic Task Allocation in Networks-on-Chip (CLC, RM), pp. 1232–1237.
- DATE-2008-Dutt #design
- Memory-aware NoC Exploration and Design (ND), pp. 1128–1129.
- DATE-2008-GizopoulosRGNW #power management #testing
- Power-Aware Testing and Test Strategies for Low Power Devices (DG, KR, PG, NN, XW).
- DATE-2008-KM #design #process #queue
- Process Variation Aware Issue Queue Design (RK, MM), pp. 1438–1443.
- DATE-2008-LeeNKT #fault #generative
- Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation (JL, SN, MK, MT), pp. 1172–1177.
- DATE-2008-LinPBB #detection
- Physically-Aware N-Detect Test Pattern Selection (YTL, OP, NKB, RDB), pp. 634–639.
- DATE-2008-LiuQW #energy #realtime
- Energy Aware Dynamic Voltage and Frequency Selection for Real-Time Systems with Energy Harvesting (SL, QQ, QW), pp. 236–241.
- DATE-2008-MayAW #case study #design
- A Case Study in Reliability-Aware Design: A Resilient LDPC Code Decoder (MM, MA, NW), pp. 456–461.
- DATE-2008-MoonenBBM #multi #streaming
- Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip (AM, MB, RvdB, JLvM), pp. 300–305.
- DATE-2008-PurnaprajnaPP #configuration management #encryption #multi #power management
- Power Aware Reconfigurable Multiprocessor for Elliptic Curve Cryptography (MP, CP, MP), pp. 1462–1467.
- DATE-2008-StefanoBBM #design #multi #pipes and filters #process
- Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
- DATE-2008-VillenaS #algorithm #named #order #reduction #scalability
- SPARE — a Scalable algorithm for passive, structure preserving, Parameter-Aware model order REduction (JFV, LMS), pp. 586–591.
- DATE-2008-WangSX #framework #synthesis
- A Variation Aware High Level Synthesis Framework (FW, GS, YX), pp. 1063–1068.
- SIGMOD-2008-JohnsonMSS #clustering #data type #monitoring #network
- Query-aware partitioning for monitoring massive network data streams (TJ, SMM, VS, OS), pp. 1135–1146.
- VLDB-2008-Amer-YahiaBLS #collaboration #network #performance
- Efficient network aware search in collaborative tagging sites (SAY, MB, LVSL, JS), pp. 710–721.
- VLDB-2008-HolupirekS #implementation
- Implementing filesystems by tree-aware DBMSs (AH, MHS), pp. 1623–1630.
- ITiCSE-2008-GrayHGSF
- A computer-based test to raise awareness of disability issues (JG, GH, AG, JSR, JF), p. 347.
- MSR-2008-OmoriM #development #editing #source code
- A change-aware development environment by recording editing operations of source code (TO, KM), pp. 31–34.
- PASTE-2008-DeRD #java #memory management #validation
- Java memory model aware software validation (AD, AR, DD), pp. 8–14.
- SCAM-2008-SunZ #analysis #points-to
- Aspect-Aware Points-to Analysis (QS, JZ), pp. 143–152.
- CHI-2008-BarkhuusBBSHC #social
- From awareness to repartee: sharing location within social groups (LB, BB, MB, SS, MH, MC), pp. 497–506.
- CHI-2008-BirnholtzMGB #distributed
- Attention by proxy? issues in audience awareness for webcasts to distributed groups (JPB, CM, SG, RB), pp. 103–106.
- CHI-2008-CosleyLHHBNBG #named #social
- ArtLinks: fostering social awareness and reflection in museums (DC, JL, AH, JH, JB, SN, KB, GG), pp. 403–412.
- CHI-2008-EaganS
- The buzz: supporting user tailorability in awareness applications (JRE, JTS), pp. 1729–1738.
- CHI-2008-FindlaterM #adaptation #performance #user interface #user satisfaction #visual notation
- Impact of screen size on performance, awareness, and user satisfaction with adaptive graphical user interfaces (LF, JM), pp. 1247–1256.
- CHI-2008-HarrisonD #agile #user interface
- Lean and zoom: proximity-aware user interface and content magnification (CH, AKD), pp. 507–510.
- CSCW-2008-BrownHIPB #network #social
- Social networks and context-aware spam (GB, TH, MI, AP, KB), pp. 403–412.
- CSCW-2008-HorneckerMDR #collaboration
- Collaboration and interference: awareness with mice or touch input (EH, PM, NSD, YR), pp. 167–176.
- CSCW-2008-IgnatPON #collaboration #multi #privacy
- Providing awareness in multi-synchronous collaboration without compromising privacy (CLI, SP, GO, MCN), pp. 659–668.
- CAiSE-2008-BaresiMP #flexibility #framework #semantics #web #web service
- A Flexible and Semantic-Aware Publication Infrastructure for Web Services (LB, MM, PP), pp. 435–449.
- CAiSE-2008-BoukadiGV #adaptation #approach #aspect-oriented
- An Aspect Oriented Approach for Context-Aware Service Domain Adapted to E-Business (KB, CG, LV), pp. 64–78.
- ICEIS-SAIC-2008-BoddyWRC #collaboration #communication #information management
- Awareness in Project Information Spaces for Improved Communication and Collaboration (SB, MW, YR, GC), pp. 101–106.
- ICEIS-SAIC-2008-BoukadiGV #aspect-oriented #collaboration #enterprise #using
- Enhancing Enterprise Collaboration Using Context-Aware Service Based on Aspects (KB, CG, LV), pp. 29–36.
- ICEIS-SAIC-2008-VladoiuC #community #framework
- Framework for Building of a Dynamic User Community (EPH) — Sharing of Context-Aware, Public Interest Information or Knowledge through Always-on Services (MV, ZC), pp. 73–87.
- CIKM-2008-Dominguez-SalSAL
- Cache-aware load balancing for question answering (DDS, MS, JAS, JLLP), pp. 1271–1280.
- ICML-2008-LiLW #framework #learning #self #what
- Knows what it knows: a framework for self-aware learning (LL, MLL, TJW), pp. 568–575.
- ICPR-2008-MoncrieffVW #privacy #visual notation
- Context aware privacy in visual surveillance (SM, SV, GAWW), pp. 1–4.
- ICPR-2008-ShinK #algorithm #using
- Enhanced Resolution-aware Fitting algorithm using interpolation operator (JS, DK), pp. 1–4.
- KDD-2008-CaoJPHLCL #mining #query
- Context-aware query suggestion by mining click-through and session data (HC, DJ, JP, QH, ZL, EC, HL), pp. 875–883.
- KDD-2008-KoenigsteinST #analysis #query #string #using
- Spotting out emerging artists using geo-aware analysis of P2P query strings (NK, YS, TT), pp. 937–945.
- KDD-2008-PedreschiRT #data mining #mining
- Discrimination-aware data mining (DP, SR, FT), pp. 560–568.
- RecSys-2008-AdomaviciusT #recommendation
- Context-aware recommender systems (GA, AT), pp. 335–336.
- SEKE-2008-BelmonteD #automation #domain model #re-engineering
- Automating a Domain Model Aware Reengineering Methodology (JB, PD), pp. 129–136.
- SEKE-2008-DavidBP #development
- Supporting Context-awareness in Web-based Groupware Development (JMND, MRSB, JAP), pp. 820–823.
- SEKE-2008-FernandesWM #feature model #modelling #product line
- Feature Modeling for Context-Aware Software Product Lines (PF, CW, LGPM), pp. 758–763.
- SIGIR-2008-BroschartS #retrieval #xml
- Proximity-aware scoring for XML retrieval (AB, RS), pp. 845–846.
- SIGIR-2008-LuxenburgerEW #personalisation
- Task-aware search personalization (JL, SE, GW), pp. 721–722.
- OOPSLA-2008-XianSJ #execution #java #parallel #source code #thread
- Contention-aware scheduler: unlocking execution parallelism in multithreaded java programs (FX, WSa, HJ), pp. 163–180.
- REFSQ-2008-SeyffGGM #mobile #requirements
- Mobile Discovery of Requirements for Context-Aware Systems (NS, FG, PG, NAMM), pp. 183–197.
- SAC-2008-AssisLSG #crawling
- The impact of term selection in genre-aware focused crawling (GTdA, AHFL, ASdS, MAG), pp. 1158–1163.
- SAC-2008-BaumgartnerRS #architecture
- A software architecture for ontology-driven situation awareness (NB, WR, WS), pp. 2326–2330.
- SAC-2008-BezerraW #algorithm #detection #process
- Anomaly detection algorithms in logs of process aware systems (FdLB, JW), pp. 951–952.
- SAC-2008-BublitzAPLCD #framework #pervasive
- An infrastructure for developing context aware applications in pervasive environments (FB, HOdA, AP, EL, EdBC, LDdS), pp. 1958–1959.
- SAC-2008-CardenasG #architecture #comparison #network #performance
- Performance comparison of Flow Aware Networking (FAN) architectures under GridFTP traffic (CC, MG), pp. 2079–2084.
- SAC-2008-FlhoME #development #mobile #network
- Supporting the development of context-aware agent-based systems for mobile networks (JVF, MAdGM, ME), pp. 1872–1873.
- SAC-2008-GoncalvesFG #architecture #mobile
- A service architecture for sensor data provisioning for context-aware mobile applications (BG, JGPF, GG), pp. 1946–1952.
- SAC-2008-Hosokawa #mobile
- A location-aware information browser implemented on BREW-based mobile phones (YH), pp. 1878–1883.
- SAC-2008-MartinsSBPS #information retrieval #learning #ubiquitous
- Context-aware information retrieval on a ubiquitous medical learning environment (DSM, LHZS, MB, AFdP, WLdS), pp. 2348–2349.
- SAC-2008-PawarWBMHH #architecture #middleware #mobile #multi
- Context-aware middleware architecture for vertical handover support to multi-homed nomadic mobile services (PP, KW, BJvB, PM, AvH, HJH), pp. 481–488.
- SAC-2008-RossiT #execution #modelling #named #process
- EPML: an executable process modeling language for process-aware applications (DR, ET), pp. 132–133.
- SAC-2008-RossiT08a #architecture #design #web
- Designing and architecting process-aware Web applications with EPML (DR, ET), pp. 2409–2414.
- SAC-2008-Tso-SutterMS #algorithm #collaboration #recommendation
- Tag-aware recommender systems by fusion of collaborative filtering algorithms (KHLTS, LBM, LST), pp. 1995–1999.
- SAC-2008-UddinZ #named #towards #trust
- UMLtrust: towards developing trust-aware software (MGU, MZ), pp. 831–836.
- SAC-2008-UddinZA #named #trust
- CAT: a context-aware trust model for open and dynamic systems (MGU, MZ, SIA), pp. 2024–2029.
- SAC-2008-VictorPB #using
- Context addressing using context-aware flooding (KV, JP, YB), pp. 1960–1961.
- SAC-2008-ZuikeviciuteP #database #replication
- Conflict-aware load-balancing techniques for database replication (VZ, FP), pp. 2169–2173.
- FSE-2008-SamaRWE #adaptation #detection #fault #modelling
- Model-based fault detection in context-aware adaptive applications (MS, DSR, ZW, SGE), pp. 261–271.
- FSE-2008-SarmaRH #configuration management #empirical
- Empirical evidence of the benefits of workspace awareness in software configuration management (AS, DFR, AvdH), pp. 113–123.
- ICSE-2008-DigNMJ #adaptation #evolution #library #named #refactoring
- ReBA: refactoring-aware binary adaptation of evolving libraries (DD, SN, VM, REJ), pp. 441–450.
- ICSE-2008-RobbesL #development #named #tool support
- SpyWare: a change-aware development toolset (RR, ML), pp. 847–850.
- HPCA-2008-GratzGK
- Regional congestion awareness for load balance in networks-on-chip (PG, BG, SWK), pp. 203–214.
- HPCA-2008-LeeKMP #predict #using
- Performance-aware speculation control using wrong path usefulness prediction (CJL, HK, OM, YNP), pp. 39–49.
- HPDC-2008-NathujiS #virtual machine
- Vpm tokens: virtual machine-aware power budgeting in datacenters (RN, KS), pp. 119–128.
- PPoPP-2008-KejariwalNBVP #clustering
- Cache-aware iteration space partitioning (AK, AN, UB, AVV, CDP), pp. 269–270.
- ICST-2008-Garousi #distributed #modelling #nondeterminism #realtime #testing #uml
- Traffic-aware Stress Testing of Distributed Real-Time Systems Based on UML Models in the Presence of Time Uncertainty (VG), pp. 92–101.
- ISSTA-2008-QuCR #empirical #testing
- Configuration-aware regression testing: an empirical study of sampling and prioritization (XQ, MBC, GR), pp. 75–86.
- WICSA-2007-HinzPUM #adaptation #architecture #web
- Adaptation and Distribution of Pipeline-Based Context-Aware Web Architectures (MH, SP, MU, KM), p. 15.
- WICSA-2007-ZhouNS #development #framework
- An Integrated QoS-Aware Service Development and Management Framework (JZ, EN, PS), p. 13.
- ASE-2007-JiangS #control flow #debugging #predict #statistics
- Context-aware statistical debugging: from bug predictors to faulty control flow paths (LJ, ZS), pp. 184–193.
- ASE-2007-SarmaBH #configuration management #towards
- Towards supporting awareness of indirect conflicts across software configuration management workspaces (AS, GB, AvdH), pp. 94–103.
- CASE-2007-MohabeyNMSS #combinator #composition #web #web service
- A Combinatorial Procurement Auction for QoS-Aware Web Services Composition (MM, YN, SM, PS, SVS), pp. 716–721.
- DAC-2007-GolshanB
- Single-Event-Upset (SEU) Awareness in FPGA Routing (SG, EB), pp. 330–333.
- DAC-2007-JooCSC #energy #memory management #multi
- Energy-Aware Data Compression for Multi-Level Cell (MLC) Flash Memory (YJ, YC, DS, NC), pp. 716–719.
- DAC-2007-KamhiMMNWKMKC #design #power management #question #validation
- Early Power-Aware Design & Validation: Myth or Reality? (GK, SM, SBM, WN, YCW, JK, EM, SVK, SC), pp. 210–211.
- DAC-2007-KumarKS #synthesis
- NBTI-Aware Synthesis of Digital Circuits (SVK, CHK, SSS), pp. 370–375.
- DAC-2007-LeungT #energy #synthesis
- Energy-Aware Synthesis of Networks-on-Chip Implemented with Voltage Islands (LFL, CYT), pp. 128–131.
- DAC-2007-WangLR #automation #megamodelling #named #parametricity #variability
- PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels (ZW, XL, JSR), pp. 142–147.
- DAC-2007-WenMSKOS #effectiveness #reduction #testing
- Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing (XW, KM, TS, SK, YO, KKS), pp. 527–532.
- DAC-2007-XianLL #energy #execution #multi #nondeterminism #realtime #scheduling
- Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time (CX, YHL, ZL), pp. 664–669.
- DATE-2007-AzzoniBDFGV #optimisation
- Yield-aware placement optimization (PA, MB, ND, FF, CG, WV), pp. 1232–1237.
- DATE-2007-BaneresCK
- Layout-aware gate duplication and buffer insertion (DB, JC, MK), pp. 1367–1372.
- DATE-2007-CoskunRW #scheduling
- Temperature aware task scheduling in MPSoCs (AKC, TSR, KW), pp. 1659–1664.
- DATE-2007-GlassLSHT #interactive #synthesis
- Interactive presentation: Reliability-aware system synthesis (MG, ML, TS, CH, JT), pp. 409–414.
- DATE-2007-GolubevaLPM #architecture
- Architectural leakage-aware management of partitioned scratchpad memories (OG, ML, MP, EM), pp. 1665–1670.
- DATE-2007-KandemirYSO #memory management #scheduling
- Memory bank aware dynamic loop scheduling (MTK, TY, SWS, ÖÖ), pp. 1671–1676.
- DATE-2007-LasbouyguesWAM #analysis
- Temperature and voltage aware timing analysis: application to voltage drops (BL, RW, NA, PM), pp. 1012–1017.
- DATE-2007-MutyamV #process
- Working with process variation aware caches (MM, NV), pp. 1152–1157.
- DATE-2007-NarayananKB #clustering #performance
- Performance aware secure code partitioning (SHKN, MTK, RRB), pp. 1122–1127.
- DATE-2007-NiM #self
- Self-heating-aware optimal wire sizing under Elmore delay model (MN, SOM), pp. 1373–1378.
- DATE-2007-SeongM #performance #taxonomy #using
- An efficient code compression technique using application-aware bitmask and dictionary selection methods (SWS, PM), pp. 582–587.
- DATE-2007-SuhonenKKHH #capacity #cost analysis #multi #optimisation
- Cost-aware capacity optimization in dynamic multi-hop WSNs (JS, MK, MK, MH, TDH), pp. 666–671.
- DATE-2007-WangLHLYX #modelling #performance
- Temperature-aware NBTI modeling and the impact of input vector control on performance degradation (YW, HL, KH, RL, HY, YX), pp. 546–551.
- DATE-2007-ZhuZCXZ #grid #probability #process
- A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology (HZ, XZ, WC, JX, DZ), pp. 1514–1519.
- HT-2007-Rubart #architecture
- Architecting structure-aware applications (JR), pp. 185–188.
- SIGMOD-2007-BinnigKLO #database #generative #named #testing
- QAGen: generating query-aware test databases (CB, DK, EL, MTÖ), pp. 341–352.
- SIGMOD-2007-RundensteinerWXCWYH #data analysis #interactive #named
- XmdvtoolQ: : quality-aware interactive data exploration (EAR, MOW, ZX, QC, CVW, DY, SH), pp. 1109–1112.
- VLDB-2007-ChuangCZ
- Context-Aware Wrapping: Synchronized Data Extraction (SLC, KCCC, CZ), pp. 699–710.
- VLDB-2007-LiGBNMNOF
- Deadline and QoS Aware Data Warehouse (WSL, DG, RB, IN, HM, MN, MO, TF), pp. 1418–1421.
- VLDB-2007-MoroBT
- Early Profile Pruning on XML-aware Publish/Subscribe Systems (MMM, PB, VJT), pp. 866–877.
- PLDI-2007-ElmasQT #java #named #runtime #transaction
- Goldilocks: a race and transaction-aware java runtime (TE, SQ, ST), pp. 245–255.
- CHI-2007-BiehlCSR #named #visual notation
- FASTDash: a visual dashboard for fostering awareness in software teams (JTB, MC, GS, GGR), pp. 1313–1322.
- DHM-2007-OlivatoMFR #design
- Foot Digitalization for Last Design and Individual Awareness of Personal Foot Characteristics (PO, MM, EF, AR), pp. 949–958.
- HCI-AS-2007-GaoRS
- Provide Context-Aware Advertisements with Interactivity (QG, PLPR, GS), pp. 22–31.
- HCI-IDU-2007-StrybelVDKNCG #predict #using
- Predicting Perceived Situation Awareness of Low Altitude Aircraft in Terminal Airspace Using Probe Questions (TZS, KPLV, JPD, JK, TKN, VC, FPG), pp. 939–948.
- HCI-IPT-2007-CaoE #privacy #towards
- Influence of Culture on Attitude Towards Instant Messaging: Balance Between Awareness and Privacy (JC, AE), pp. 236–240.
- HCI-IPT-2007-DahlS #interactive #towards #visualisation
- Visualizing Interaction in Digitally Augmented Spaces: Steps Toward a Formalism for Location-Aware and Token-Based Interactive Systems (YD, DS), pp. 569–578.
- HCI-IPT-2007-SuhPYCW #interactive #mobile #personalisation #ubiquitous
- Context-Aware Mobile AR System for Personalization, Selective Sharing, and Interaction of Contents in Ubiquitous Computing Environments (YS, YP, HY, YC, WW), pp. 966–974.
- HCI-IPT-2007-WangLSBH
- Enhancing the Map Usage for Indoor Location-Aware Systems (HW, HL, AS, JB, UDH), pp. 151–160.
- HIMI-IIE-2007-JeongL #interactive #learning #ubiquitous
- Context Aware Human Computer Interaction for Ubiquitous Learning (CJ, EL), pp. 364–373.
- HIMI-IIE-2007-KimKL07a #interactive #smarttech #ubiquitous
- Ubiquitous Hands: Context-Aware Wearable Gloves with a RF Interaction Model (JGK, BGK, SL), pp. 546–554.
- HIMI-IIE-2007-Lee07d #modelling
- Context Modeling and Inference System for Heterogeneous Context Aware Service (SL), pp. 413–422.
- HIMI-IIE-2007-RodriguezAGM
- Disseminating and Sharing Information Through Time-Aware Public Displays (MDR, ÁGA, MLG, ALM), pp. 156–165.
- HIMI-MTT-2007-AblassmeierPRR #network #using
- Context-Aware Information Agents for the Automotive Domain Using Bayesian Networks (MA, TP, SR, GR), pp. 561–570.
- OCSC-2007-ChoC #collaboration #learning #self
- Self-Awareness in a Computer Supported Collaborative Learning Environment (KC, MHC), pp. 284–291.
- VISSOFT-2007-RipleySH #evolution #visualisation
- A Visualization for Software Project Awareness and Evolution (RMR, AS, AvdH), pp. 137–144.
- AdaEurope-2007-ChanCCTZ #network #power management #testing #towards
- Towards the Testing of Power-Aware Software Applications for Wireless Sensor Networks (WKC, TYC, SCC, THT, ZZ), pp. 84–99.
- CAiSE-2007-HamadiPB #concept #modelling #privacy #protocol #web #web service
- Conceptual Modeling of Privacy-Aware Web Service Protocols (RH, HYP, BB), pp. 233–248.
- CAiSE-2007-MutschlerRR #approach #information management #modelling
- Analyzing the Dynamic Cost Factors of Process-Aware Information Systems: A Model-Based Approach (BM, MR, SR), pp. 589–603.
- CAiSE-2007-WeberRR #information management
- Change Patterns and Change Support Features in Process-Aware Information Systems (BW, SR, MR), pp. 574–588.
- EDOC-2007-ComerioPMP #semantics #web #web service
- NFP-aware Semantic Web Services Selection (MC, FDP, AM, MP), pp. 484–494.
- EDOC-2007-HalleVCG #model checking #workflow
- Model Checking Data-Aware Workflow Properties with CTL-FO+ (SH, RV, OC, BG), pp. 267–278.
- EDOC-2007-TaoY #configuration management #development #process
- Context Aware Differentiated Services Development with Configurable Business Processes (ATT, JY), pp. 241–252.
- ICEIS-AIDSS-2007-NiuLZ #concept #framework #modelling
- Enriching Executives’ Situation Awareness and Mental Models — A Conceptual ESS Framework (LN, JL, GZ), pp. 510–516.
- ICEIS-EIS-2007-GeH #quality
- A Theoretical Model to Explain Effects of Information Quality Awareness on Decision Making (MG, MH), pp. 164–169.
- ICEIS-EIS-2007-ShishkovS #design #modelling
- Model-Driven Design of Context-Aware Applications (BS, MvS), pp. 105–113.
- ICEIS-J-2007-ShishkovS07a
- From User Context States to Context-Aware Applications (BS, MvS), pp. 225–239.
- ICEIS-SAIC-2007-AngioniDDVLMPPPSST #collaboration #semantics
- A Collaborative, Semantic and Context Aware Search Engine (MA, RD, MD, EDV, CL, IM, GP, AP, AP, RS, AS, FT), pp. 213–218.
- ICEIS-SAIC-2007-YamashitaTISKH #mobile
- Context Awareness of Mobile Content Delivery Based on Fine Location Estimate (TY, DT, NI, AS, KK, KH), pp. 185–190.
- KDD-2007-TongFK #graph #mining #performance #proximity
- Fast direction-aware proximity for graph mining (HT, CF, YK), pp. 747–756.
- RecSys-2007-MassaA #recommendation #trust
- Trust-aware recommender systems (PM, PA), pp. 17–24.
- SIGIR-2007-LiuHAY #named #performance #predict #sentiment #using
- ARSA: a sentiment-aware model for predicting sales performance using blogs (YL, XH, AA, XY), pp. 607–614.
- GPCE-2007-WykS #parsing
- Context-aware scanning for parsing extensible languages (EVW, AS), pp. 63–72.
- QAPL-2007-ChothiaPD #component
- Keeping Secrets in Resource Aware Components (TC, JP, MTD), pp. 79–94.
- RE-2007-DamianMK #collaboration #distance #network #social
- Collaboration Patterns and the Impact of Distance on Awareness in Requirements-Centred Social Networks (DD, SM, IK), pp. 59–68.
- SAC-2007-FariasLCPF #development #metamodelling #mobile
- A MOF metamodel for the development of context-aware mobile applications (CRGdF, MML, CZC, RMP, JGPF), pp. 947–952.
- SAC-2007-HuangHYCK #named #network #operating system
- LA-TinyOS: a locality-aware operating system for wireless sensor networks (TYH, KYH, HYY, ETHC, CTK), pp. 1151–1158.
- SAC-2007-HungCYCS #algorithm #architecture #design #energy
- An architectural co-synthesis algorithm for energy-aware network-on-chip design (WHH, YJC, CLY, YSC, APS), pp. 680–684.
- SAC-2007-LeeLSG #integration #named
- HIS-KCWater: context-aware geospatial data and service integration (JL, YL, SS, JG), pp. 24–29.
- SAC-2007-LinC #named
- VA-TCP: a vertical handoff-aware TCP (YCL, HPC), pp. 237–238.
- SAC-2007-PreuveneersB #adaptation #mobile #self #towards
- Towards context-aware and resource-driven self-adaptation for mobile handheld applications (DP, YB), pp. 1165–1170.
- SAC-2007-SongP #file system
- Providing context-awareness to virtual file system (YS, DP), pp. 1199–1200.
- SAC-2007-UbayashiN #feature model #modelling
- Context-aware feature-oriented modeling with an aspect extension of VDM (NU, SN), pp. 1269–1274.
- ICSE-2007-DigMJN #configuration management #object-oriented #refactoring #source code
- Refactoring-Aware Configuration Management for Object-Oriented Programs (DD, KM, REJ, TNN), pp. 427–436.
- ICSE-2007-WangER #automation #generative #testing
- Automated Generation of Context-Aware Tests (ZW, SGE, DSR), pp. 406–415.
- CGO-2007-OzturkCKK #latency #problem
- Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems (ÖÖ, GC, MTK, MK), pp. 232–243.
- HPCA-2007-EyermanE #parallel #policy #smt
- A Memory-Level Parallelism Aware Fetch Policy for SMT Processors (SE, LE), pp. 240–249.
- HPDC-2007-RenEB #fine-grained
- Failure-aware checkpointing in fine-grained cycle sharing systems (XR, RE, SB), pp. 33–42.
- HPDC-2007-ShiraiST #parallel #performance
- A fast topology inference: a building block for network-aware parallel processing (TS, HS, KT), pp. 11–22.
- ISMM-2007-XianSJ #concurrent #garbage collection #performance #policy #scheduling #thread
- Allocation-phase aware thread scheduling policies to improve garbage collection performance (FX, WSa, HJ), pp. 79–90.
- LCTES-2007-ZhongX #energy #optimisation #realtime
- Frequency-aware energy optimization for real-time periodic and aperiodic tasks (XZ, CZX), pp. 21–30.
- PPoPP-2007-SaitoT #rank
- Locality-aware connection management and rank assignment for wide-area MPI (HS, KT), pp. 150–151.
- ASE-2006-Seo #distributed #energy
- Energy-Awareness in Distributed Java-Based Software Systems (CS), pp. 343–348.
- DAC-2006-DadgourJB #architecture #novel #power management
- A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates (HFD, RVJ, KB), pp. 977–982.
- DAC-2006-GopalakrishnanLP #architecture #metric #using
- Architecture-aware FPGA placement using metric embedding (PG, XL, LTP), pp. 460–465.
- DAC-2006-HuangG #embedded #scalability
- Leakage-aware intraprogram voltage scaling for embedded processors (PKH, SG), pp. 364–369.
- DAC-2006-HuZCGC #communication #latency #power management #synthesis
- Communication latency aware low power NoC synthesis (YH, YZ, HC, RLG, CKC), pp. 574–579.
- DAC-2006-IranliLP #mobile #power management
- Backlight dimming in power-aware mobile displays (AI, WL, MP), pp. 604–607.
- DAC-2006-KansalHSR #network #power management
- Harvesting aware power management for sensor networks (AK, JH, MBS, VR), pp. 651–656.
- DAC-2006-LiZJ #concurrent #named #network #proximity
- DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip (ML, QAZ, WBJ), pp. 849–852.
- DAC-2006-LoiASLSB #3d #analysis #performance
- A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy (GLL, BA, NS, SCL, TS, KB), pp. 991–996.
- DAC-2006-MishchenkoCB #fresh look #logic #synthesis
- DAG-aware AIG rewriting a fresh look at combinational logic synthesis (AM, SC, RKB), pp. 532–535.
- DAC-2006-NassifPRSBR #analysis #question
- Variation-aware analysis: savior of the nanometer era? (SRN, VP, NR, DS, CB, RR), pp. 411–412.
- DAC-2006-RaoOK #architecture #logic
- Topology aware mapping of logic functions onto nanowire-based crossbar architectures (WR, AO, RK), pp. 723–726.
- DAC-2006-ShethSM #design
- The importance of adopting a package-aware chip design flow (KS, ES, JM), pp. 853–856.
- DAC-2006-ShiMYH #simulation
- Circuit simulation based obstacle-aware Steiner routing (YS, PM, HY, LH), pp. 385–388.
- DAC-2006-SrinivasanMXVS #named
- FLAW: FPGA lifetime awareness (SS, PM, YX, NV, KS), pp. 630–635.
- DAC-2006-TiwaryTR #design #generative
- Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration (SKT, PKT, RAR), pp. 31–36.
- DAC-2006-WangDC #approach #named #scheduling #tool support
- ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling (KW, LD, XC), pp. 951–954.
- DAC-2006-WuWL
- Timing-constrained and voltage-island-aware voltage assignment (HW, MDFW, IML), pp. 429–432.
- DAC-2006-YuSP #modelling #process
- Process variation aware OPC with variational lithography modeling (PY, SXS, DZP), pp. 785–790.
- DATE-2006-AngioliniMCBR #layout
- Contrasting a NoC and a traditional interconnect fabric with layout awareness (FA, PM, SC, LB, LR), pp. 124–129.
- DATE-2006-ChengG #energy #online #realtime #scheduling
- Online energy-aware I/O device scheduling for hard real-time systems (HC, SG), pp. 1055–1060.
- DATE-2006-ChenMBR #case study #design #power management
- Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design (QC, SM, AB, KR), pp. 983–988.
- DATE-2006-HeJ #configuration management #framework #named #synthesis
- RAS-NANO: a reliability-aware synthesis framework for reconfigurable nanofabrics (CH, MFJ), pp. 1179–1184.
- DATE-2006-HuangG06a #adaptation #compilation #embedded #power management #scalability
- Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities (PKH, SG), pp. 943–944.
- DATE-2006-KahngPSW #lens
- Lens aberration aware timing-driven placement (ABK, CHP, PS, QW), pp. 890–895.
- DATE-2006-LahiriBCM #clustering #speech
- Battery-aware code partitioning for a text to speech system (AL, AB, MC, SM), pp. 672–677.
- DATE-2006-LiCY #adaptation #embedded #energy #optimisation #performance #realtime
- Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems (ZL, HC, SY), pp. 678–683.
- DATE-2006-LiuH #logic #synthesis
- Crosstalk-aware domino logic synthesis (YYL, TH), pp. 1312–1317.
- DATE-2006-MohantyVK #optimisation
- Physical-aware simulated annealing optimization of gate leakage in nanoscale datapath circuits (SPM, RV, EK), pp. 1191–1196.
- DATE-2006-PaciMPB #design #power management
- Exploring “temperature-aware” design in low-power MPSoCs (GP, PM, FP, LB), pp. 838–843.
- DATE-2006-RuggieroGBPM #framework #multi #scheduling
- Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip (MR, AG, DB, FP, MM), pp. 3–8.
- DATE-2006-SehgalGMC #design #framework
- Hierarchy-aware and area-efficient test infrastructure design for core-based system chips (AS, SKG, EJM, KC), pp. 285–290.
- SIGMOD-2006-GedikL #query
- Quality-aware dstributed data delivery for continuous query services (BG, LL), pp. 419–430.
- SIGMOD-2006-MathisHH #query #xml
- Locking-aware structural join operators for XML query processing (CM, TH, MPH), pp. 467–478.
- VLDB-2006-NarayananDMR #query
- Delay Aware Querying with Seaweed (DN, AD, RM, AITR), pp. 727–738.
- VLDB-2006-TatbulZ #data type #query
- Window-Aware Load Shedding for Aggregation Queries over Data Streams (NT, SBZ), pp. 799–810.
- ITiCSE-2006-Joyce06a
- Raising awareness about academic integrity (DJ), p. 350.
- FoSSaCS-2006-BirkedalDEHN #modelling
- Bigraphical Models of Context-Aware Systems (LB, SD, EE, TTH, HN), pp. 187–201.
- CSMR-2006-Capilla #architecture
- Context-aware Architectures for Building Service-Oriented Systems (RC), pp. 300–303.
- ICPC-2006-KellyB #analysis #taxonomy
- A Context-Aware Analysis Scheme for Bloom’s Taxonomy (TK, JB), pp. 275–284.
- SEFM-2006-NeoviusSYS #formal method
- A Formal Model of Context-Awareness and Context-Dependency (MN, KS, LY, MS), pp. 177–185.
- CHI-2006-DeyG #deployment #design
- From awareness to connectedness: the design and deployment of presence displays (AKD, ESDG), pp. 899–908.
- CSCW-2006-BardramHS #interactive #named #social
- AwareMedia: a shared interactive display supporting social, temporal, and spatial awareness in surgery (JEB, TRH, MS), pp. 109–118.
- CSCW-2006-CataldoWHC #collaboration #coordination #design #identification #requirements #tool support
- Identification of coordination requirements: implications for the Design of collaboration and awareness tools (MC, PW, JDH, KMC), pp. 353–362.
- CSCW-2006-KhalilC #privacy
- Context-aware telephony: privacy preferences and sharing patterns (AK, KC), pp. 469–478.
- CSCW-2006-SiuIT #email
- Going with the flow: email awareness and task management (NS, LI, AT), pp. 441–450.
- CSCW-2006-TeeGG #distributed
- Providing artifact awareness to a distributed group through screen sharing (KT, SG, CG), pp. 99–108.
- AdaEurope-2006-SharifiS #how #transaction
- Replication-Aware Transactions: How to Roll a Transaction over Failures (MS, HS), pp. 203–214.
- SIGAda-2006-SwardG #safety
- Developing safety critical software for an unmanned aerial vehicle situational awareness tool (RES, MG), pp. 45–50.
- EDOC-2006-ChanP #architecture #modelling #uml
- QoS-Aware Model Driven Architecture through the UML and CIM (KC, IP), pp. 345–354.
- EDOC-2006-GorpAJ #2d #consistency #contract #framework #nondeterminism #towards #traceability #visual notation
- Towards 2D Traceability in a Platform for Contract Aware Visual Transformations with Tolerated Inconsistencies (PVG, FA, DJ), pp. 185–198.
- EDOC-2006-SzydloSZ #adaptation #component
- Policy-based Context-aware Adaptable Software Components for Mobility Computing (TS, RS, KZ), pp. 483–487.
- CIKM-2006-HeinzS #kernel #resource management #streaming
- Resource-aware kernel density estimators over streaming data (CH, BS), pp. 870–871.
- KDD-2006-LeFevreDR
- Workload-aware anonymization (KL, DJD, RR), pp. 277–286.
- KDD-2006-XinCYH
- Extracting redundancy-aware top-k patterns (DX, HC, XY, JH), pp. 444–453.
- SIGIR-2006-MunavalliM #named
- MathFind: a math-aware search engine (RM, RM), p. 735.
- ECMDA-FA-2006-OuGAYS #architecture #development #integration #modelling #ontology
- A Model Driven Integration Architecture for Ontology-Based Context Modelling and Context-Aware Application Development (SO, NG, MA, KY, XS), pp. 188–197.
- SAC-2006-AhmedKL #algorithm #design #implementation #network
- Design and implementation of a context-aware decision algorithm for heterogeneous networks (TA, KK, ML), pp. 1134–1138.
- SAC-2006-Cuzzocrea #multi
- An accuracy-aware compression technique for multidimensional data cubes (AC), pp. 515–516.
- SAC-2006-DamianiB #architecture #data access
- Architectural issues for a location-aware role-based access control system (MLD, EB), pp. 1184–1185.
- SAC-2006-GaberY #approach #clustering #data type #framework #information management #resource management
- A framework for resource-aware knowledge discovery in data streams: a holistic approach with its application to clustering (MMG, PSY), pp. 649–656.
- SAC-2006-NguyenLL #case study #modelling #process
- A space aware agent-based modeling process for the study of hierarchical complex systems (TMLN, CL, IL), pp. 126–127.
- FSE-2006-HalfondOM #evaluation #injection #sql #using
- Using positive tainting and syntax-aware evaluation to counter SQL injection attacks (WGJH, AO, PM), pp. 175–185.
- FSE-2006-LuCT #approach #data flow #middleware #source code #testing
- Testing context-aware middleware-centric programs: a data flow approach and an RFID-based experimentation (HL, WKC, THT), pp. 242–252.
- ICSE-2006-Freese #refactoring #version control
- Refactoring-aware version control (TF), pp. 953–956.
- ICSE-2006-GarousiBL #distributed #modelling #testing #uml
- Traffic-aware stress testing of distributed systems based on UML models (VG, LCB, YL), pp. 391–400.
- ICSE-2006-SohnGSLCSC #case study #experience #open source #tool support
- Experiences with place lab: an open source toolkit for location-aware computing (TS, WGG, JS, AL, YC, IES, MYC), pp. 462–471.
- CC-2006-BarikS
- Enhanced Bitwidth-Aware Register Allocation (RB, VS), pp. 263–276.
- HPCA-2006-PandeyJZB #energy #memory management
- DMA-aware memory energy management (VP, WJ, YZ, RB), pp. 133–144.
- HPCA-2006-VenkatesanHR #agile
- Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM (RKV, SH, ER), pp. 155–165.
- HPDC-2006-ZhangHXY #named #physics #runtime #scalability
- PARM: Physics Aware Runtime Manager for Large-scale Scientific and Engineering Applications (YZ, SH, JX, JY), pp. 363–364.
- ISMM-2006-Mendelson #challenge #memory management #power management
- Memory management challenges in the power-aware computing era (AM), pp. 1–2.
- ISMM-2006-SomanDK #garbage collection #multi #virtual machine
- Task-aware garbage collection in a multi-tasking virtual machine (SS, LD, CK), pp. 64–73.
- LCTES-2006-ChenK #scalability #scheduling
- Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor (JJC, TWK), pp. 153–162.
- LCTES-2006-ParkSDNPE #reduction #scheduling
- Bypass aware instruction scheduling for register file power reduction (SP, AS, NDD, AN, YP, EE), pp. 173–181.
- ISSTA-2006-WalcottSKR #testing
- TimeAware test suite prioritization (KRW, MLS, GMK, RSR), pp. 1–12.
- ASE-2005-HaoPZZMS #approach #fault #locality #testing
- A similarity-aware approach to testing based fault localization (DH, YP, LZ, WZ, HM, JS), pp. 291–294.
- ASE-2005-MokhtarLGI #composition
- QoS-aware dynamic service composition in ambient intelligence environments (SBM, JL, NG, VI), pp. 317–320.
- ASE-2005-TibermacineFS #architecture #component #evolution
- NFRs-aware architectural evolution of component-based software (CT, RF, SS), pp. 388–391.
- DAC-2005-AziziKDN #design #power management #scalability
- Variations-aware low-power design with voltage scaling (NA, MMK, VD, FNN), pp. 529–534.
- DAC-2005-BanerjeeBD #architecture #clustering #configuration management
- Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration (SB, EB, NDD), pp. 335–340.
- DAC-2005-BhattacharyaJS #optimisation
- Template-driven parasitic-aware optimization of analog integrated circuit layouts (SB, NJ, CJRS), pp. 644–647.
- DAC-2005-CheonHKRW #power management
- Power-aware placement (YC, PHH, ABK, SR, QW), pp. 795–800.
- DAC-2005-ChoiCK #embedded #power management
- DC-DC converter-aware power management for battery-operated embedded systems (YC, NC, TK), pp. 895–900.
- DAC-2005-HuangNL #scheduling
- Race-condition-aware clock skew scheduling (SHH, YTN, FPL), pp. 475–478.
- DAC-2005-ManolacheEP #communication #energy #fault #latency
- Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC (SM, PE, ZP), pp. 266–269.
- DAC-2005-MarculescuT #architecture #energy #perspective #variability
- Variability and energy awareness: a microarchitecture-level perspective (DM, ET), pp. 11–16.
- DAC-2005-MitraYP #named #performance #simulation #using
- RADAR: RET-aware detailed routing using fast lithography simulations (JM, PY, DZP), pp. 369–372.
- DAC-2005-MukherjeeMM #resource management #synthesis
- Temperature-aware resource allocation and binding in high-level synthesis (RM, SOM, GM), pp. 196–201.
- DAC-2005-NookalaCLS #approach #architecture #design #statistics #using
- Microarchitecture-aware floorplanning using a statistical design of experiments approach (VN, YC, DJL, SSS), pp. 579–584.
- DAC-2005-PasrichaDBB #architecture #automation #communication #synthesis
- Floorplan-aware automated synthesis of bus-based communication architectures (SP, NDD, EB, MBR), pp. 565–570.
- DAC-2005-TopalogluO #approach #process
- A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs (ROT, AO), pp. 851–856.
- DAC-2005-ZhanSLPNS #analysis #statistics
- Correlation-aware statistical timing analysis with non-gaussian delay distributions (YZ, AJS, XL, LTP, DN, MS), pp. 77–82.
- DAC-2005-ZhaoZD #constraints #robust
- Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits (CZ, YZ, SD), pp. 190–195.
- DATE-2005-BhaduriV #higher-order #induction #metric
- Inductive and Capacitive Coupling Aware Routing Methodology Driven by a Higher Order RLCK Moment Metric (AB, RV), pp. 922–923.
- DATE-2005-HeniaE #analysis #distributed #scheduling
- Context-Aware Scheduling Analysis of Distributed Systems with Tree-Shaped Task-Dependencies (RH, RE), pp. 480–485.
- DATE-2005-HungXVKI #embedded #scheduling
- Thermal-Aware Task Allocation and Scheduling for Embedded Systems (WLH, YX, NV, MTK, MJI), pp. 898–899.
- DATE-2005-KandemirC #embedded #process #scheduling
- Locality-Aware Process Scheduling for Embedded MPSoCs (MTK, GC), pp. 870–875.
- DATE-2005-KaoM #energy
- Energy-Aware Routing for E-Textile Applications (JCK, RM), pp. 184–189.
- DATE-2005-KhanV #algorithm #scheduling
- An Iterative Algorithm for Battery-Aware Task Scheduling on Portable Computing Platforms (JK, RV), pp. 622–627.
- DATE-2005-MarconCMSRH #energy
- Exploring NoC Mapping Strategies: An Energy and Timing Aware Technique (CAMM, NLVC, FGM, AAS, IMR, FH), pp. 502–507.
- DATE-2005-TangCRWRP #fault
- Defect Aware Test Patterns (HT, GC, SMR, CW, JR, IP), pp. 450–455.
- DATE-2005-TsaiVXI #network
- Leakage-Aware Interconnect for On-Chip Network (YFT, NV, YX, MJI), pp. 230–231.
- DATE-2005-WangPM #energy #network
- A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks (HW, LSP, SM), pp. 1238–1243.
- DATE-2005-WongLLHP #realtime #scheduling
- Scheduling of Soft Real-Time Systems for Context-Aware Applications (JLW, WL, FL, LH, MP), pp. 318–323.
- DATE-2005-YardiHMH #multi #power management #quality
- Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing (SMY, MSH, TLM, DSH), pp. 340–345.
- SIGMOD-2005-FischerKD #adaptation #agile #named
- AGILE: Adaptive Indexing for Context-Aware Information Filters (JPD, PMF, DK), pp. 215–226.
- SIGMOD-2005-GolabO #modelling #query
- Update-Pattern-Aware Modeling and Processing of Continuous Queries (LG, MTÖ), pp. 658–669.
- ITiCSE-2005-TobinW #education #security #using
- Using a windows attack intRusion emulator (AWARE) to teach computer security awareness (DLTJ, MSW), pp. 213–217.
- ICALP-2005-BrodalFM #adaptation #sorting
- Cache-Aware and Cache-Oblivious Adaptive Sorting (GSB, RF, GM), pp. 576–588.
- CHI-2005-HoI #mobile #using
- Using context-aware computing to reduce the perceived burden of interruptions from mobile devices (JH, SSI), pp. 909–918.
- CHI-2005-PatilL #configuration management #privacy #what
- Who gets to know what when: configuring privacy permissions in an awareness application (SP, JL), pp. 101–110.
- SOFTVIS-2005-StoreyCG #bibliography #development #framework #on the #process #visualisation
- On the use of visualization to support awareness of human activities in software development: a survey and a framework (MADS, DC, DMG), pp. 193–202.
- AdaEurope-2005-PinhoNB #ada #framework
- An Ada Framework for QoS-Aware Applications (LMP, LN, RB), pp. 25–38.
- ICEIS-v4-2005-ShankarSKGO #ad hoc #grid
- Dynamic Coalition in Agent Aware Adhoc Virtual P2P Interconnect Grid Computing System — A3PVIGRID (AS, CS, AK, AKG, PO), pp. 170–175.
- CIKM-2005-KoloniariPPT #query #using
- Query workload-aware overlay construction using histograms (GK, YP, EP, TT), pp. 640–647.
- CIKM-2005-LorenzGLTH #risk management
- Situation-aware risk management in autonomous agents (ML, JDG, HL, IJT, JH), pp. 363–364.
- CIKM-2005-MandreoliMR #ambiguity #semantics
- Versatile structural disambiguation for semantic-aware applications (FM, RM, ER), pp. 209–216.
- SEKE-2005-AsawateeraR #automation #implementation #multi #tool support #uml
- The Implementation of Multi Agents Awareness System for CSCW UML CASE Tools (PA, SR), pp. 735–738.
- SIGIR-2005-BenderMTWZ
- Improving collection selection with overlap awareness in P2P search engines (MB, SM, PT, GW, CZ), pp. 67–74.
- ECMDA-FA-2005-FondementB #metamodelling #syntax
- Making Metamodels Aware of Concrete Syntax (FF, TB), pp. 190–204.
- PPDP-2005-CraigL #prolog #self
- Self-tuning resource aware specialisation for prolog (SJC, ML), pp. 23–34.
- PPDP-2005-HermenegildoALP #abstraction
- Abstraction carrying code and resource-awareness (MVH, EA, PLG, GP), pp. 1–11.
- SAC-2005-FeizabadiRJ #algorithm #named #scheduling
- MSA: a memory-aware utility accrual scheduling algorithm (SF, BR, EDJ), pp. 857–862.
- SAC-2005-Kirsch-PinheiroVGM #adaptation #collaboration #web
- Context-aware filtering for collaborative web systems: adapting the awareness information to the user’s context (MKP, MVO, JG, HM), pp. 1668–1673.
- SAC-2005-PiccoBC #lightweight #named #tuple
- LighTS: a lightweight, customizable tuple space supporting context-aware applications (GPP, DB, PC), pp. 413–419.
- ESEC-FSE-2005-SliwerskiZZ #named
- HATARI: raising risk awareness (JŚ, TZ, AZ), pp. 107–110.
- ESEC-FSE-2005-XuC #consistency #detection #middleware #nondeterminism
- Inconsistency detection and resolution for context-aware middleware support (CX, SCC), pp. 336–345.
- ICSE-2005-LavazzaB #automation #execution #metric
- Automated support for process-aware definition and execution of measurement plans (LL, GB), pp. 234–243.
- CGO-2005-NagpurkarKS #profiling
- Phase-Aware Remote Profiling (PN, CK, TS), pp. 191–202.
- HPCA-2005-ChenPWHP #design #power management
- Exploring the Design Space of Power-Aware Opto-Electronic Networked Systems (XC, LSP, GYW, YKH, PRP), pp. 120–131.
- HPDC-2005-IamnitchiF #community
- Interest-aware information dissemination in small-world communities (AI, ITF), pp. 167–175.
- HPDC-2005-LiaoCCWRT
- Collective caching: application-aware client-side file caching (WkL, KC, ANC, LW, ER, ST), pp. 81–90.
- HPDC-2005-Wang #distributed #file system #towards #version control #workflow
- Towards a workflow-aware distributed versioning file system for metacomputing systems (YW0), pp. 314–315.
- LCTES-2005-SermulinsTRA #optimisation #source code
- Cache aware optimization of stream programs (JS, WT, RMR, SPA), pp. 115–126.
- PPoPP-2005-ChenWDKLA #game studies #locality #multi
- Locality aware dynamic load management for massively multiplayer games (JC, BW, MD, BK, HL, CA), pp. 289–300.
- ASE-2004-Eusterbrock #certification
- Context-Aware Code Certification (JE), pp. 358–361.
- ASE-2004-GuoH #mobile #modelling #simulation
- Modeling and Simulation of Context-Aware Mobile Systems (PG, RH), pp. 430–433.
- DAC-2004-AgarwalSYV #modelling #performance
- Fast and accurate parasitic capacitance models for layout-aware (AA, HS, VY, RV), pp. 145–150.
- DAC-2004-DeogunRSB #encoding #reduction
- Leakage-and crosstalk-aware bus encoding for total power reduction (HD, RRR, DS, DB), pp. 779–782.
- DAC-2004-GuptaH #towards
- Toward a systematic-variation aware timing methodology (PG, FLH), pp. 321–326.
- DAC-2004-HuangSSSGV #design #modelling
- Compact thermal modeling for temperature-aware design (WH, MRS, KS, KS, SG, SV), pp. 878–883.
- DAC-2004-Iyer #detection #fault
- Hierarchical application aware error detection and recovery (RKI), p. 79.
- DAC-2004-JejurikarPG #embedded #realtime #scalability
- Leakage aware dynamic voltage scaling for real-time embedded systems (RJ, CP, RKG), pp. 275–280.
- DAC-2004-LeeDBABM #architecture #simulation
- Circuit-aware architectural simulation (SL, SD, VB, TMA, DB, TNM), pp. 305–310.
- DAC-2004-SelvakkumaranRRK #algorithm #clustering #multi
- Multi-resource aware partitioning algorithms for FPGAs with heterogeneous resources (NS, AR, SR, GK), pp. 741–746.
- DAC-2004-ZhangDC #distributed #embedded #energy #fault tolerance #realtime
- Energy-aware deterministic fault tolerance in distributed real-time embedded systems (YZ, RPD, KC), pp. 550–555.
- DATE-DF-2004-MenichelliOBDB #architecture #design #multi #power management
- A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design (FM, MO, LB, MD, LB), pp. 312–317.
- DATE-DF-2004-NeffeRSWRM #energy #estimation #modelling #power management #smarttech
- Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards (UN, KR, CS, RW, ER, AM), pp. 300–305.
- DATE-v1-2004-BabighianBM04a #distributed
- Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating (PB, LB, EM), pp. 720–723.
- DATE-v1-2004-HuM #architecture #communication #constraints #energy #realtime #scheduling
- Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints (JH, RM), pp. 234–239.
- DATE-v1-2004-LiVKI
- A Crosstalk Aware Interconnect with Variable Cycle Transmission (LL, NV, MTK, MJI), pp. 102–107.
- DATE-v1-2004-RanjanVASVG #modelling #performance #synthesis #using
- Fast, Layout-Inclusive Analog Circuit Synthesis using Pre-Compiled Parasitic-Aware Symbolic Performance Models (MR, WV, AA, HS, RV, GGEG), pp. 604–609.
- DATE-v1-2004-WangH #clustering #memory management #multi #power management #scheduling
- Power Aware Variable Partitioning and Instruction Scheduling for Multiple Memory Banks (ZW, XSH), pp. 312–317.
- DATE-v2-2004-AcquavivaLB #network #power management
- Power-Aware Network Swapping for Wireless Palmtop PCs (AA, EL, AB), pp. 858–863.
- DATE-v2-2004-AntwerpenDGMPVV #design #energy #multi
- Energy-Aware System Design for Wireless Multimedia (HVA, NDD, RKG, SM, CP, NV, RvV), pp. 1124–1131.
- DATE-v2-2004-DasikaVCS #framework
- A Framework for Battery-Aware Sensor Management (SD, SBKV, KC, RS), pp. 962–967.
- DATE-v2-2004-GarceaMKO #statistics
- Statistically Aware Buffer Planning (GSG, NPvdM, KJvdK, RHJMO), pp. 1402–1403.
- DATE-v2-2004-JersakHE #analysis #design #embedded #performance
- Context-Aware Performance Analysis for Efficient Embedded System Design (MJ, RH, RE), pp. 1046–1051.
- DATE-v2-2004-LiverisB #design #interface #power management #synthesis
- Power Aware Interface Synthesis for Bus-Based SoC Design (NDL, PB), pp. 864–869.
- DATE-v2-2004-VermaWM #algorithm
- Cache-Aware Scratchpad Allocation Algorithm (MV, LW, PM), pp. 1264–1269.
- DRR-2004-SeniRM #online #recognition
- Online handwriting recognition in a form-filling task: evaluating the impact of context awareness (GS, KR, EM), pp. 109–115.
- SIGMOD-2004-IlyasSAVE #optimisation #query
- Rank-aware Query Optimization (IFI, RS, WGA, JSV, AKE), pp. 203–214.
- SIGMOD-2004-MehrotraBKVAHLMMWEH #named
- CAMAS: A Citizen Awareness System for Crisis Mitigation (SM, CTB, DVK, NV, KA, RH, HL, YM, AM, JW, RE, CH), pp. 955–956.
- VLDB-2004-MayerGKT #injection
- An Injection of Tree Awareness: Adding Staircase Join to PostgreSQL (SM, TG, MvK, JT), pp. 1305–1308.
- FASE-2004-RomanJP
- A Formal Treatment of Context-Awareness (GCR, CJ, JP), pp. 12–36.
- CSMR-2004-BauerT #adaptation #architecture #clustering #object-oriented
- Architecture-Aware Adaptive Clustering of OO Systems (MB, MT), pp. 3–14.
- CHI-2004-DeyHBLH #programming
- a CAPpella: programming by demonstration of context-aware applications (AKD, RH, CB, IL, DH), pp. 33–40.
- CHI-2004-HarterVGMR #energy #evaluation #user interface
- Energy-aware user interfaces: an evaluation of user acceptance (TH, SV, EG, MM, PR), pp. 199–206.
- CHI-2004-HouriziJ #design #predict
- Designing to support awareness: a predictive, composite model (RH, PJ), pp. 159–166.
- CHI-2004-IntilleBTR #ubiquitous
- Acquiring in situ training data for context-aware ubiquitous computing applications (SSI, LB, EMT, JR), pp. 1–8.
- CHI-2004-Oulasvirta #research
- Finding meaningful uses for context-aware technologies: the humanistic research strategy (AO), pp. 247–254.
- CSCW-2004-BardramH #architecture #mobile #social
- The AWARE architecture: supporting context-mediated social awareness in mobile cooperation (JEB, TRH), pp. 192–201.
- CSCW-2004-DabbishK #coordination #motivation #social
- Controlling interruptions: awareness displays and social motivation for coordination (LAD, REK), pp. 182–191.
- CSCW-2004-GutwinPS #development #distributed
- Group awareness in distributed software development (CG, RP, KAS), pp. 72–81.
- CSCW-2004-JonesGWCT #community #design #requirements
- Putting systems into place: a qualitative study of design requirements for location-aware community systems (QJ, SAG, SW, KC, LGT), pp. 202–211.
- EDOC-2004-PignatonVAB #component #using
- Developing QoS-aware Component-Based Applications Using MDA Principles (RP, VAV, JIA, JB), pp. 172–183.
- ICEIS-v4-2004-KammanahalliGSR #collaboration #enterprise
- Context Aware Collaboration in Enterprises (HK, SG, VS, KR), pp. 443–446.
- ICEIS-v4-2004-RamasamyHBCSA #implementation #named
- E-Enterprise: Awareness and Implementation of Transparent Factory in South East Asia (GR, AH, MB, SHC, SS, JA), pp. 463–466.
- ECIR-2004-WeigelMBS #information retrieval #performance #xml
- Content-Aware DataGuides: Interleaving IR and DB Indexing Techniques for Efficient Retrieval of Textual XML Data (FW, HM, FB, KUS), pp. 378–393.
- SAC-2004-Bardram #design
- Applications of context-aware computing in hospital work: examples and design principles (JB), pp. 1574–1579.
- SAC-2004-FujinamiYN #case study #cyber-physical #exclamation #physics #quote
- “Take me with you!”: a case study of context-aware application integrating cyber and physical spaces (KF, TY, TN), pp. 1607–1614.
- SAC-2004-GalandM #architecture #functional #self
- A functional architecture for self-aware routers (DG, OM), pp. 352–356.
- SAC-2004-JarvensivuPM #middleware #object-oriented
- Object-oriented middleware for location-aware systems (RJ, RP, TM), pp. 1184–1190.
- ICSE-2004-PoladianSGS #resource management
- Dynamic Configuration of Resource-Aware Services (VP, JPS, DG, MS), pp. 604–613.
- CGO-2004-KudlurFCRCM #heuristic #named #scheduling
- FLASH: Foresighted Latency-Aware Scheduling Heuristic for Processors with Customized Datapaths (MK, KF, MLC, RAR, NC, SAM), pp. 201–212.
- CGO-2004-SmelyanskiyMD #probability #scheduling
- Probabilistic Predicate-Aware Modulo Scheduling (MS, SAM, ESD), pp. 151–162.
- HPCA-2004-KalogeropulosRRST
- Processor Aware Anticipatory Prefetching in Loops (SK, MR, VR, YS, PT), pp. 106–117.
- HPCA-2004-LiMH #energy #multi
- The Thrifty Barrier: Energy-Aware Synchronization in Shared-Memory Multiprocessors (JL, JFM, MCH), pp. 14–23.
- HPCA-2004-ZhuDDLZC #energy #power management #using
- Reducing Energy Consumption of Disk Storage Using Power-Aware Cache Management (QZ, FMD, CFD, ZL, YZ, PC), pp. 118–129.
- HPDC-2004-KichkayloK #component #deployment #distributed #resource management
- Optimal Resource-Aware Deployment Planning for Component-Based Distributed Applications (TK, VK), pp. 150–159.
- LCTES-2004-LiX #compilation #energy #framework
- A trace-based binary compilation framework for energy-aware computing (LL, JX), pp. 95–106.
- DAC-2003-BeniniMMOPP #analysis #design #difference #energy
- Energy-aware design techniques for differential power analysis protection (LB, AM, EM, EO, FP, MP), pp. 36–41.
- DAC-2003-ChoiKP #energy #streaming
- Energy-aware MPEG-4 FGS streaming (KC, KK, MP), pp. 912–915.
- DAC-2003-GorenZGWBALSTGPJSSDH #design #modelling
- On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices (DG, MZ, RG, IAW, AB, AA, BL, AS, YT, RAG, JP, DLJ, SES, RS, CED, DLH), pp. 724–727.
- DAC-2003-MoreshetB #design #power management #queue
- Power-aware issue queue design for speculative instructions (TM, RIB), pp. 634–637.
- DATE-2003-HuM #architecture #energy #flexibility #performance
- Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures (JH, RM), pp. 10688–10693.
- DATE-2003-MarchalGPBBCC #energy #memory management #multi
- SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms (PM, JIG, LP, DB, LB, FC, HC), pp. 10516–10523.
- DATE-2003-NilssonMOJ #network #proximity
- Load Distribution with the Proximity Congestion Awareness in a Network on Chip (EN, MM, JÖ, AJ), pp. 11126–11127.
- DATE-2003-ZhangC #adaptation #embedded #energy #realtime
- Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems (YZ, KC), pp. 10918–10925.
- HT-2003-AndersonSL #behaviour
- Structure and behavior awareness in themis (KMA, SAS, WVL), pp. 138–147.
- ITiCSE-2003-HabermanLL #comprehension #concept #education #research #student
- Action research as a tool for promoting teacher awareness of students’ conceptual understanding (BH, EL, DL), pp. 144–148.
- TACAS-2003-SokolskyPLC #analysis #modelling #power management
- Modeling and Analysis of Power-Aware Systems (OS, AP, IL, KC), pp. 409–425.
- CHI-2003-Yee03a #interactive
- Peephole displays: pen interaction on spatially aware handheld computers (KPY), pp. 1–8.
- ICEIS-v2-2003-Bates #approach #maturity #metric #modelling
- A Capability Maturity Model-Based Approach to the Measurement of Shared Situation Awareness (EB), pp. 406–413.
- ICEIS-v2-2003-HashemGH #ad hoc #algorithm #mobile #network #search-based
- A Quality-Of-Service-Aware Genetic Algorithm for the Source Routing in Ad-Hoc Mobile Networks (MH, SG, MH), pp. 589–599.
- ICEIS-v3-2003-LiLWWL #adaptation #elicitation #process #requirements
- A Context-Aware User-Adaptive Supporting System for Goal-Oriented Requirements Elicitation Process (CL, HL, JW, QW, ML), pp. 347–356.
- ICEIS-v4-2003-ChibaniKA #approach #pervasive
- Agents-Middleware Approach for Context Awareness in Pervasive Computing (AC, KD, YA), pp. 184–189.
- KDD-2003-JensenRB #assessment
- Information awareness: a prospective technical assessment (DJ, MJR, HB), pp. 378–387.
- POPL-2003-TallamG
- Bitwidth aware global register allocation (ST, RG), pp. 85–96.
- ICSE-2003-GriswoldBBT #architecture #component #framework
- A Component Architecture for an Extensible, Highly Integrated Context-Aware Computing Infrastructure (WGG, RTB, SWB, TMT), pp. 363–373.
- ICSE-2003-SarmaNH #configuration management #named
- Palantír: Raising Awareness among Configuration Management Workspaces (AS, ZN, AvdH), pp. 444–454.
- CGO-2003-SmelyanskiyMDL #constraints #scheduling
- Predicate-Aware Scheduling: A Technique for Reducing Resource Constraints (MS, SAM, ESD, HHSL), pp. 169–178.
- HPCA-2003-AragonGG #power management
- Power-Aware Control Speculation through Selective Throttling (JLA, JG, AG), pp. 103–112.
- HPDC-2003-AgarwalaPKSW #distributed #monitoring #resource management
- Resource-Aware Stream Management with the Customizable dproc Distributed Monitoring Mechanisms (SA, CP, JK, KS, MW), pp. 250–259.
- HPDC-2003-SenapathiCSSP #adaptation #clustering #interactive #middleware
- QoS-Aware Middleware for Cluster-Based Servers to support Interactive and Resource-Adaptive Applications (SS, BC, DS, HWS, DKP), pp. 205–215.
- DAC-2002-BaiVS #optimisation
- Uncertainty-aware circuit optimization (XB, CV, PNS), pp. 58–63.
- DAC-2002-LiouKWC #analysis #performance #statistics #testing #validation
- False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation (JJL, AK, LCW, KTC), pp. 566–569.
- DAC-2002-UmK #synthesis
- Layout-aware synthesis of arithmetic circuits (JU, TK), pp. 207–212.
- DATE-2002-GorenZGGLASW #approach #design
- An Interconnect-Aware Methodology for Analog and Mixed Signal Design, Based on High Bandwidth (Over 40 Ghz) On-Chip Transmission Line Approach (DG, MZ, TCG, RG, BL, AA, AS, IAW), pp. 804–811.
- DATE-2002-PandiniPS #logic #synthesis
- Congestion-Aware Logic Synthesis (DP, LTP, AJS), pp. 664–671.
- SCAM-J-2001-BartoliniP02 #embedded #program transformation
- A cache-aware program transformation technique suitable for embedded systems (SB, CAP), pp. 783–795.
- ICSM-2002-HuntT
- Extensible Language-Aware Merging (JJH, WFT), pp. 511–520.
- ASIA-PEPM-2002-Amarasinghe #architecture #compilation
- Defying the speed of light: : a spatially-aware compiler for wire-exposed architectures (SPA), p. 70.
- CHI-2002-IsaacsWR #interactive #mobile #named
- Hubbub: a sound-enhanced mobile instant messenger that supports awareness and opportunistic interactions (EI, AW, DR), pp. 179–186.
- CSCW-2002-BegoleTSY #distributed #visualisation
- Work rhythms: analyzing visualizations of awareness histories of distributed groups (JB, JCT, RBS, NY), pp. 334–343.
- CSCW-2002-CadizVJG #design #interface
- Designing and deploying an information awareness interface (JJC, GDV, GJ, AG), pp. 314–323.
- CSCW-2002-PetterssonRH #case study
- Ambiguities, awareness and economy: a study of emergency service work (MP, DWR, BH), pp. 286–295.
- ICEIS-2002-CortesCD #approach #automation #web
- An Automated Approach to Quality-Aware Web Applications (ARC, RC, AD), pp. 995–1000.
- SEKE-2002-CanonicoDFRV #legacy #on the #quality
- On the introduction of quality of service awareness in legacy ditributed applications (RC, MD, BF, SPR, GV), pp. 659–664.
- SEKE-2002-ChungC #approach #knowledge-based #requirements
- A knowledge-based COTS-aware requirements engineering approach (LC, KMLC), pp. 175–182.
- SEKE-2002-GrazianoRVF #information management #metadata #modelling
- Metadata models for QoS-aware information management systems (AG, SR, VV, PF), pp. 103–106.
- SAC-2002-BrownJ #retrieval
- Exploiting contextual change in context-aware retrieval (PJB, GJFJ), pp. 650–656.
- FSE-2002-JulienR #ad hoc #mobile #programming
- Egocentric context-aware programming in ad hoc mobile environments (CJ, GCR), pp. 21–30.
- ICSE-2002-Capra #middleware #mobile
- Mobile computing middleware for context-aware applications (LC), pp. 723–724.
- ICSE-2002-RomanJH #abstraction #mobile #network
- Network abstractions for context-aware mobile computing (GCR, CJ, QH), pp. 363–373.
- ICSE-2002-WeinsbergB #programming
- A programming model and system for disconnected-aware applications on resource-constrained devices (YW, IBS), pp. 374–384.
- HPCA-2002-SuhDR #clustering #memory management #monitoring #scheduling
- A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning (GES, SD, LR), pp. 117–128.
- HPDC-2002-GuN #peer-to-peer #scalability
- A Scalable QoS-Aware Service Aggregation Model for Peer-to-Peer Computing Grids (XG, KN), pp. 73–82.
- LCTES-SCOPES-2002-LorenzWD #compilation #energy
- Energy aware compilation for DSPs with SIMD instructions (ML, LW, TD), pp. 94–101.
- OSDI-2002-WeisselBB #energy #novel #semantics
- Cooperative I/O: A Novel I/O Semantics for Energy-Aware Applications (AW, BB, FB), pp. 117–129.
- ASE-2001-YeF #component #repository #scalability
- Context-Aware Browsing of Large Component Repositories (YY, GF), pp. 99–106.
- DAC-2001-LiuCBK #constraints #embedded #power management #scheduling
- Power-Aware Scheduling under Timing Constraints for Mission-Critical Embedded Systems (JL, PHC, NB, FJK), pp. 840–845.
- DAC-2001-LuoJ #distributed #embedded #realtime #scheduling
- Battery-Aware Static Scheduling for Distributed Real-Time Embedded Systems (JL, NKJ), pp. 444–449.
- DAC-2001-SanieCHM #design #standard
- A Practical Application of Full-Feature Alternating Phase-Shifting Technology for a Phase-Aware Standard-Cell Design Flow (MS, MC, PH, VM), pp. 93–96.
- DATE-2001-IyerM #architecture #power management #scalability
- Power aware microarchitecture resource scaling (AI, DM), pp. 190–196.
- ITiCSE-2001-Ginat #algorithm #learning #problem
- Metacognitive awareness utilized for learning control elements in algorithmic problem solving (DG), pp. 81–84.
- CHI-2001-Grudin #clustering #monitoring #multi
- Partitioning digital worlds: focal and peripheral awareness in multiple monitor use (JG), pp. 458–465.
- CHI-2001-TangYBKLB #mobile
- ConNexus to awarenex: extending awareness to mobile users (JCT, NY, JB, MVK, FCL, JRB), pp. 221–228.
- UML-2001-WeisBGP #component #contract #metamodelling #uml
- A UML Meta-model for Contract Aware Components (TW, CB, KG, NP), pp. 442–456.
- LOPSTR-2001-AlferesBLP #behaviour #logic programming
- Computing Environment-Aware Agent Behaviours with Logic Program Updates (JJA, AB, JAL, LMP), pp. 216–232.
- SAC-2001-AnconaLR
- Context and location aware textual data input (MA, SL, AR), pp. 425–428.
- HPDC-2001-BolligerG #monitoring
- Bandwidth Monitoring for Network-Aware Applications (JB, TRG), pp. 241–251.
- HPDC-2001-CuiN #component #dependence
- QoS-Aware Dependency Management for Component-Based Systems (YC, KN), p. 127–?.
- HPDC-2001-TierneyGLSE
- Enabling Network-Aware Applications (BT, DG, JL, MS, JBE), pp. 281–288.
- LCTES-OM-2001-KangCS #design #distributed #power management #realtime #synthesis
- Power-Aware Design Synthesis Techniques for Distributed Real-Time Systems (DIK, SPC, JS), pp. 20–28.
- DAC-2000-GrunDN #compilation #memory management
- Memory aware compilation through accurate timing extraction (PG, NDD, AN), pp. 316–321.
- DAC-2000-JagannathanHL #algorithm #performance
- A fast algorithm for context-aware buffer insertion (AJ, SWH, JL), pp. 368–373.
- DATE-2000-KravetsS #symmetry #synthesis #using
- Constructive Library-Aware Synthesis Using Symmetries (VNK, KAS), pp. 208–213.
- HT-2000-NaF #hypermedia #petri net
- Context-aware hypermedia in a dynamically-changing environment, supported by a high-level Petri net (JCN, RF), pp. 222–223.
- CHI-2000-CheverstDMFE #case study #experience
- Developing a context-aware electronic tourist guide: some issues and experiences (KC, ND, KM, AF, CE), pp. 17–24.
- CHI-2000-EspinosaCRKSL #tool support #why
- Coming to the wrong decision quickly: why awareness tools must be matched with appropriate tasks (JAE, JJC, LRG, REK, WLS, GL), pp. 392–399.
- CSCW-2000-BoyleEG #privacy #video
- The effects of filtered video on awareness and privacy (MB, CE, SG), pp. 1–10.
- CSCW-2000-GodefroidyHJL #approach #automation #privacy #verification
- Ensuring privacy in presence awareness: an automated verification approach (PG, JDH, LJJ, DL), pp. 59–68.
- CAiSE-2000-Farshchian #development #distributed #named
- Gossip: An Awareness Engine for Increasing Product Awareness in Distributed Development Projects (BAF), pp. 264–278.
- SIGIR-2000-JonesB #information management
- Information access for context-aware appliances (GJFJ, PJB), pp. 382–384.
- SAC-2000-LokeRZ #mobile
- Enabling Awareness in Dynamic Mobile Agent Environments (SWL, AR, ABZ), pp. 547–548.
- ASPLOS-2000-LebeckFZE #power management
- Power Aware Page Allocation (ARL, XF, HZ, CSE), pp. 105–116.
- HPDC-2000-XuNVW #multi
- QoS and Contention-Aware Multi-Resource Reservation (DX, KN, AV, DW), pp. 3–10.
- LCTES-2000-KandemirVIK #energy #towards
- Towards Energy-Aware Iteration Space Tiling (MTK, NV, MJI, HSK), pp. 211–215.
- ISSTA-2000-SchulzM #debugging #interface #thread
- A thread-aware debugger with an open interface (DS, FM), pp. 201–211.
- DAC-1999-ChenM #using
- Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect Using Hierarchical Moment-Matching (CPC, NM), pp. 502–506.
- PASTE-1999-Steindl #data flow #programming
- Benefits of a Data Flow-Aware Programming Environment (CS), pp. 105–109.
- HCI-CCAD-1999-BrollGMPP #people
- Place people in context — the awareness forum (WB, WG, EM, UPB, WP), pp. 462–466.
- HCI-CCAD-1999-LuczakW #communication
- Computer supported communication and cooperation — making information aware (HL, MW), pp. 298–302.
- HCI-CCAD-1999-Miki #branch #design #multi
- Designing awareness for multipurpose remote branch terminals (HM), pp. 553–557.
- HCI-CCAD-1999-PrinzGKK #framework #towards
- Towards an awareness infrastructure for cooperative applications (WP, WG, KHK, SK), pp. 323–327.
- HCI-CCAD-1999-Rittenbruch #named #towards
- Atmosphere: towards context-selective awareness mechanisms (MR), pp. 328–332.
- HCI-CCAD-1999-WagnerBMS #architecture #collaboration #design
- Spaces for creating context & awareness — designing a collaborative virtual work space for (landscape) architects (IW, MB, PM, DS), pp. 283–287.
- HPDC-1999-Nahrstedt #question #resource management
- To Overprovision or To Share via QoS-aware Resource Management? (KN), pp. 205–212.
- HPDC-1999-TierneyLCHHD #data-driven #distributed
- A Network-Aware Distributed Storage Cache for Data Intensive Environments (BT, JL, BC, MH, JH, FLD), pp. 185–193.
- SOSP-1999-FlinnS #adaptation #energy #mobile
- Energy-aware adaptation for mobile applications (JF, MS), pp. 48–63.
- CHI-1998-GutwinG #usability
- Effects of Awareness Support on Groupware Usability (CG, SG), pp. 511–518.
- CHI-1998-ReynardBGH #collaboration #quality #video
- Awareness Driven Video Quality of Service in Collaborative Virtual Environments (GR, SB, CG, CH), pp. 464–471.
- CSCW-1998-GutwinG #design #trade-off
- Design for Individuals, Design for Groups: Tradeoffs between Power and Workspace Awareness (CG, SG), pp. 207–216.
- CSCW-1998-NomuraHHG #named #process
- Interlocus: Workspace Configuration Mechanisms for Activity Awareness (TN, KH, TH, SG), pp. 19–28.
- SAC-1998-Baggio #adaptation #mobile
- System support for transparency and network-aware adaptation in mobile environments (AB), pp. 405–408.
- SAC-1998-KottkampZ #database #mobile #query
- Location-aware query processing in mobile database systems (HEK, OZ), pp. 416–423.
- ASPLOS-1998-PaiABSDZN #clustering #network
- Locality-Aware Request Distribution in Cluster-based Network Servers (VSP, MA, GB, MS, PD, WZ, EMN), pp. 205–216.
- HPDC-1998-LowekampMSGSS #interface #query
- A Resource Query Interface for Network-Aware Applications (BL, NM, DS, TRG, PS, JS), pp. 189–196.
- CHI-1997-PedersenS #named #representation
- AROMA: Abstract Representation of Presence Supporting Mutual Awareness (ERP, TS), pp. 51–58.
- HCI-SEC-1997-MulgundRIZ #estimation #interface #modelling
- Situation Awareness Modeling and Pilot State Estimation for Tactical Cockpit Interfaces (SSM, GR, CI, GZ), pp. 487–490.
- HCI-SEC-1997-PedersenS #representation
- Awareness Technology: Experiments with Abstract Representation (ERP, TS), pp. 933–936.
- HCI-SEC-1997-TakahashiTK #multimodal
- Multimodal Display for Enhanced Situation Awareness Based on Cognitive Diversity (MT, ST, MK), pp. 707–710.
- SOSP-1997-NobleSNTFW #adaptation #agile
- Agile Application-Aware Adaptation for Mobility (BN, MS, DN, JET, JF, KRW), pp. 276–287.
- CSCW-1996-Gutwin
- Groupware Support for Workspace Awareness (doctoral colloquium) (CG), p. 447.
- CSCW-1996-GutwinGR #video
- Supporting Workspace Awareness in Groupware (Video Program) (CG, SG, MR), p. 8.
- CSCW-1996-GutwinRG #usability
- A Usability Study of Awareness Widgets in a Shared Workspace Groupware System (CG, MR, SG), pp. 258–267.
- CSCW-1996-HudsonS #privacy #trade-off
- Techniques for Addressing Fundamental Privacy and Disruption Tradeoffs in Awareness Support Systems (SEH, IES), pp. 248–257.
- CSCW-1996-KawaiBT #multi #named #using #video
- ARGUS: An Active Awareness System Using Computer-Controlled Multiple Cameras (Video Program) (TK, YB, HT), p. 7.
- CSCW-1996-McDaniel #collaboration
- Providing Awareness Information in Remote Computer-Mediated Collaboration (doctoral colloquium) (SEM), p. 446.
- CSCW-1996-PalfreymanR #protocol #web
- A Protocol for User Awareness on the World Wide Web (KP, TR), pp. 130–139.
- CSCW-1996-Rodden
- Populating the Application: A Model of Awareness for Cooperative Applications (TR), pp. 87–96.
- CSCW-1996-TollmarSS #design #experience #social
- Supporting Social Awareness @ Work Design and Experience (KT, OS, AS), pp. 298–307.
- CSL-1996-Roversi #resource management #λ-calculus
- A Type-Free Resource-Aware λ-Calculus (LR), pp. 399–413.
- CSEE-1994-Weinberger #approach
- Awareness Week at Texas Instruments: An Alternative Approach to Instructor-Led Delivery (BJW), pp. 245–250.
- CSCW-1994-SohlenkampC #communication
- Integrating Communication, Cooperation, and Awareness: The DIVA Virtual Office Environment (MS, GC), pp. 331–343.
- KDD-1994-AnandBH #architecture #database #mining #optimisation #preprocessor #query #semantics
- Database Mining in the Architecture of a Semantic Preprocessor for State Aware Query Optimization (SSA, DAB, JGH), pp. 287–298.
- HCI-SHI-1993-BenfordF #interactive
- Awareness, Focus, and Aura: A Spatial Model of Interaction in Virtual Worlds (SB, LEF), pp. 693–698.
- SIGIR-1993-RoseMOPSW #file system #implementation #interface
- Content Awareness in a File System Interface: Implementing the “Pile” Metaphor for Organizing Information (DER, RM, TO, DBP, GS, YYW), pp. 260–269.
- CHI-1992-DourishB #distributed #named
- Portholes: Supporting Awareness in a Distributed Work Group (PD, SAB), pp. 541–547.
- CSCW-1992-DourishB #coordination
- Awareness and Coordination in Shared Workspaces (PD, VB), pp. 107–114.
- CHI-1990-LauwersL #collaboration #generative #requirements
- Collaboration awareness in support of collaboration transparency: requirements for the next generation of shared window systems (JCL, KAL), pp. 303–311.
- ICSE-1985-Petschenik #testing
- Building Awareness of System Testing Issues (NHP), pp. 182–188.