BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
1 × Latvia
1 × Republic of China
1 × Spain
1 × The Netherlands
1 × United Kingdom
2 × Canada
2 × France
2 × Japan
25 × USA
3 × Germany
6 × China
Collaborated with:
L.T.Pileggi X.Ding H.Chen W.Zhang C.Gu P.Li Y.Xu M.Y.Ting R.v.Hanxleden S.Chang F.Li Z.Zheng J.Le C.Fang F.Yang X.Zeng J.Wang F.Wang R.A.Rutenbar P.Gopalakrishnan N.Kobayashi Y.Guo R.Y.K.Fung C.Dong T.Chen M.Ogawa H.Liu F.Peng N.Ahmed Y.Lu B.Liu S.Ji S.Sun Q.Huang H.Qian A.J.Strojwas H.Albalawi Y.Li E.Chiprout K.Zhou H.Zha P.Sun M.Boldt H.J.Hoover P.Rudnicki X.Wang C.Chang Z.Huang B.Liu Y.Chen M.Zaheer L.Xu L.Peng J.Bian S.Yaldiz H.Zhang L.Ma Y.Wang H.Wang W.K.Cheung J.Liu Z.Wu H.Dong J.Ding J.Lü Z.Zhang J.Li X.Liu P.Zhou S.Saxena F.Liu S.R.Nassif X.Yao Y.L.Murphey R.Karlsen G.Gerhart H.Li Q.Wu T.Huang H.R.Gonçalves M.V.Correia V.Tavares J.M.C.Jr. K.M.Butler J.Liaperdos H.D.Stratigopoulos L.Abdallah Y.Tsiatouhas A.Arapoyanni H.Zhou C.Cher E.Kursun S.Yao H.Xu P.Chen W.Yu A.Sawant S.S.Iyengar M.Fan Y.Zhong L.Tian Y.Shi H.Wang Y.Chang A.Dong K.Hsiung I.Nausieda S.P.Boyd Y.Zhan D.Newmark M.Sharma W.Wen C.Wu X.Hu T.Ho Y.Ishai E.Kushilevitz R.Ostrovsky M.Prabhakaran A.Sahai D.Zuckerman G.Keskin A.Phelps J.Rotner G.K.Fedder T.Mukherjee X.Wu V.E.Taylor J.Geisler Z.Lan R.L.Stevens M.Hereld I.R.Judson Y.Liang H.Qian Y.Hu L.Bu Y.Yu X.Chen X.Li
Talks about:
effici (13) model (13) analog (11) circuit (10) base (9) bayesian (8) system (8) statist (6) analysi (6) design (6)

Person: Xin Li

DBLP DBLP: Li:Xin

Contributed to:

DAC 20152015
DATE 20152015
LICS 20152015
DAC 20142014
ICML c2 20142014
CASE 20132013
DAC 20132013
ICALP (1) 20132013
STOC 20132013
CIKM 20122012
DAC 20122012
ICPR 20122012
STOC 20122012
CSCW 20112011
DAC 20112011
ICDAR 20112011
CIKM 20102010
DAC 20102010
PEPM 20102010
CIKM 20092009
DAC 20092009
DRR 20092009
SAC 20092009
SIGIR 20092009
DAC 20082008
DAC 20072007
ICML 20072007
SIGIR 20072007
ASPLOS 20062006
CIKM 20062006
DAC 20062006
FM 20062006
ICPR v4 20062006
SAC 20062006
SEKE 20062006
CIKM 20052005
DAC 20052005
DATE 20052005
SEKE 20052005
DAC 20042004
ICPR v2 20042004
DAC 20032003
DATE 20032003
HPDC 20002000
TOOLS Asia 19981998
ASE 20162016
JCDL 20052005
JCDL 20072007
JCDL 20092009

Wrote 66 papers:

DAC-2015-HuangFYZL #estimation #multi #performance
Efficient multivariate moment estimation via Bayesian model fusion for analog and mixed-signal circuits (QH, CF, FY, XZ, XL), p. 6.
DAC-2015-LiuLCLWH #named
Vortex: variation-aware training for memristor X-bar (BL, HL, YC, XL, QW, TH), p. 6.
DAC-2015-LiuSZLQ #generative #statistics
A statistical methodology for noise sensor placement and full-chip voltage map generation (XL, SS, PZ, XL, HQ), p. 6.
DAC-2015-WenWHLHLC #framework #hybrid #scalability
An EDA framework for large scale hybrid neuromorphic computing systems (WW, CRW, XH, BL, TYH, XL, YC), p. 6.
DAC-2015-ZaheerWGL #markov #named #performance #process
mTunes: efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process (MZ, FW, CG, XL), p. 6.
DATE-2015-FangHYZLG #estimation #fault #performance
Efficient bit error rate estimation for high-speed link by Bayesian model fusion (CF, QH, FY, XZ, XL, CG), pp. 1024–1029.
DATE-2015-GoncalvesLCTCB #algorithm #modelling #performance #reduction
A fast spatial variation modeling algorithm for efficient test cost reduction of analog/RF circuits (HRG, XL, MVC, VT, JMCJ, KMB), pp. 1042–1047.
DATE-2015-LiaperdosSATAL #deployment #performance #using
Fast deployment of alternate analog test using Bayesian model fusion (JL, HGDS, LA, YT, AA, XL), pp. 1030–1035.
LICS-2015-KobayashiL #abstraction #model checking #refinement
Automata-Based Abstraction Refinement for μHORS Model Checking (NK, XL), pp. 713–724.
DAC-2014-AlbalawiLL #algorithm #classification #design #fixpoint #implementation #machine learning #power management
Computer-Aided Design of Machine Learning Algorithm: Training Fixed-Point Classifier for On-Chip Low-Power Implementation (HA, YL, XL), p. 6.
DAC-2014-FangYZL #estimation #named #performance
BMF-BD: Bayesian Model Fusion on Bernoulli Distribution for Efficient Yield Estimation of Integrated Circuits (CF, FY, XZ, XL), p. 6.
ICML-c2-2014-LiG #classification #learning #representation #semantics
Latent Semantic Representation Learning for Scene Classification (XL, YG), pp. 532–540.
CASE-2013-LiF #approach #integer #linear #multi #problem #programming #scheduling
A mixed integer linear programming approach for multi-degree cyclic multi-hoist scheduling problems without overlapping (XL, RYKF), pp. 274–279.
DAC-2013-GuCL #estimation #performance #validation
Efficient moment estimation with extremely small sample size via bayesian inference for analog/mixed-signal validation (CG, EC, XL), p. 7.
DAC-2013-WangZSLG #modelling #performance #reuse #scalability
Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data (FW, WZ, SS, XL, CG), p. 6.
DAC-2013-ZhangLSSR #automation #clustering
Automatic clustering of wafer spatial signatures (WZ, XL, SS, AJS, RAR), p. 6.
ICALP-v1-2013-IshaiKLOPSZ #generative #pseudo #robust
Robust Pseudorandom Generators (YI, EK, XL, RO, MP, AS, DZ), pp. 576–588.
STOC-2013-Li #exponential #independence
New independent source extractors with exponential improvement (XL), pp. 783–792.
CIKM-2012-ZhouLZ12a #collaboration #query #ranking
Collaborative ranking: improving the relevance for tail queries (KZ, XL, HZ), pp. 1900–1904.
DAC-2012-WangKPRLFMP #adaptation #design #optimisation #statistics
Statistical design and optimization for adaptive post-silicon tuning of MEMS filters (FW, GK, AP, JR, XL, GKF, TM, LTP), pp. 176–181.
DAC-2012-ZhouLCKQY #framework #monitoring
An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring (HZ, XL, CYC, EK, HQ, SCY), pp. 642–647.
ICPR-2012-XuCYSIL #image
Feature-aligned 4D spatiotemporal image registration (HX, PC, WY, AS, SSI, XL), pp. 2639–2642.
STOC-2012-Li #design #privacy
Design extractors, non-malleable condensers and privacy amplification (XL), pp. 837–854.
CSCW-2011-FanLZTSW #game studies #gesture #social #using
Surprise Grabber: a co-located tangible social game using phone hand gesture (MF, XL, YZ, LT, YS, HW), pp. 625–628.
DAC-2011-DongL #performance #predict
Efficient SRAM failure rate prediction via Gibbs sampling (CD, XL), pp. 200–205.
DAC-2011-SunLT #analysis #approximate #grid #incremental #performance #power management
Efficient incremental analysis of on-chip power grid via sparse approximation (PS, XL, MYT), pp. 676–681.
ICDAR-2011-XuDPL #grid #independence #recognition
An Improved Method Based on Weighted Grid Micro-structure Feature for Text-Independent Writer Recognition (LX, XD, LP, XL), pp. 638–642.
CIKM-2010-LiLBZ #optimisation #ranking #web
Optimizing unified loss for web ranking specialization (FL, XL, JB, ZZ), pp. 1593–1596.
DAC-2010-ZhangCTL #modelling #multi #performance #scalability #towards
Toward efficient large-scale performance modeling of integrated circuits via multi-mode/multi-corner sparse regression (WZ, THC, MYT, XL), pp. 897–902.
DAC-2010-ZhangLR
Bayesian virtual probe: minimizing variation characterization cost for nanoscale IC technologies via Bayesian inference (WZ, XL, RAR), pp. 262–267.
PEPM-2010-LiO #automaton
Conditional weighted pushdown systems and applications (XL, MO), pp. 141–150.
CIKM-2009-LiLJZCD #evaluation #ranking #robust #web
Incorporating robustness into web ranking evaluation (XL, FL, SJ, ZZ, YC, AD), pp. 2007–2010.
DAC-2009-WangYLP #analysis #parametricity
SRAM parametric failure analysis (JW, SY, XL, LTP), pp. 496–501.
DAC-2009-ZhangCTL #performance #worst-case
Efficient design-specific worst-case corner extraction for integrated circuits (HZ, THC, MYT, XL), pp. 386–389.
DRR-2009-LiD #difference #independence #using #verification
Improving semi-text-independent method of writer verification using difference vector (XL, XD), pp. 1–10.
SAC-2009-MaLWW #query #realtime #scheduling
Real-time scheduling for continuous queries with deadlines (LM, XL, YW, HW), pp. 1516–1517.
SIGIR-2009-LiLJZ #ranking #robust #web
Comparing both relevance and robustness in selection of web ranking functions (FL, XL, SJ, ZZ), pp. 648–649.
DAC-2008-LiL #modelling #performance #statistics
Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations (XL, HL), pp. 38–43.
DAC-2007-LiP #correlation #multi #parametricity #performance
Efficient Parametric Yield Extraction for Multiple Correlated Non-Normal Performance Distributions of Analog/RF Circuits (XL, LTP), pp. 928–933.
DAC-2007-WangLP #design #megamodelling
Parameterized Macromodeling for Analog System-Level Design Exploration (JW, XL, LTP), pp. 940–943.
ICML-2007-LiCLW #novel #orthogonal
A novel orthogonal NMF-based belief compression for POMDPs (XL, WKWC, JL, ZW), pp. 537–544.
SIGIR-2007-PengALL #web
Context sensitive stemming for web search (FP, NA, XL, YL), pp. 639–646.
ASPLOS-2006-LiBH #concurrent #embedded #multi #thread
Mapping esterel onto a multi-threaded embedded processor (XL, MB, RvH), pp. 303–314.
CIKM-2006-LuPLA #feature model #identification #machine learning #query
Coupling feature selection and machine learning methods for navigational query identification (YL, FP, XL, NA), pp. 682–689.
DAC-2006-GopalakrishnanLP #architecture #metric #using
Architecture-aware FPGA placement using metric embedding (PG, XL, LTP), pp. 460–465.
DAC-2006-LiLP #analysis #power management #statistics
Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions (XL, JL, LTP), pp. 103–108.
FM-2006-LiHR #automation #exception #safety #towards #verification
Towards Automatic Exception Safety Verification (XL, HJH, PR), pp. 396–411.
ICPR-v4-2006-LiWD #identification #retrieval
An Off-line Chinese Writer Retrieval System Based on Text-sensitive Writer Identification (XL, XW, XD), pp. 517–520.
SAC-2006-LiH #concurrent #multi #thread
A concurrent reactive Esterel processor based on multi-threading (XL, RvH), pp. 912–917.
SEKE-2006-LiC #profiling
User Profiling in the Chronobot/Virtual Classroom System (XL, SKC), pp. 432–439.
CIKM-2005-LiL #community #mining
Mining community structure of named entities from free text (XL, BL), pp. 275–276.
DAC-2005-XuHLNBP #design #named #nondeterminism #optimisation #robust
OPERA: optimization with ellipsoidal uncertainty for robust analog IC design (YX, KLH, XL, IN, SPB, LTP), pp. 632–637.
DAC-2005-ZhanSLPNS #analysis #statistics
Correlation-aware statistical timing analysis with non-gaussian delay distributions (YZ, AJS, XL, LTP, DN, MS), pp. 77–82.
DATE-2005-LiLLPN #modelling #order #parametricity #performance #reduction #using #variability
Modeling Interconnect Variability Using Efficient Parametric Model Order Reduction (PL, FL, XL, LTP, SRN), pp. 958–963.
SEKE-2005-LiCC
Face Alive Icons (XL, CCC, SKC), pp. 29–36.
DAC-2004-LeLP #analysis #correlation #named #statistics
STAC: statistical timing analysis with correlation (JL, XL, LTP), pp. 343–348.
DAC-2004-LiXLGP #approach #simulation
A frequency relaxation approach for analog/RF system-level simulation (XL, YX, PL, PG, LTP), pp. 842–847.
ICPR-v2-2004-LiYMKG #detection #realtime
A Real-Time Vehicle Detection and Tracking System in Outdoor Traffic Scenes (XL, XY, YLM, RK, GG), pp. 761–764.
DAC-2003-LiLXP #analysis #megamodelling
Analog and RF circuit macromodels for system-level analysis (XL, PL, YX, LTP), pp. 478–483.
DATE-2003-XuLLP #megamodelling
Noise Macromodel for Radio Frequency Integrated Circuits (YX, XL, PL, LTP), pp. 10150–10155.
HPDC-2000-WuTGLLSHJ #distributed #framework #modelling #named #parallel #performance
Prophesy: An Infrastructure for Analyzing and Modeling the Performance of Parallel and Distributed Applications (XW, VET, JG, XL, ZL, RLS, MH, IRJ), pp. 302–303.
TOOLS-ASIA-1998-DongDLL #communication #framework #on the
On Open Communication Frameworks for Software Agents (HD, JD, XL, JL), pp. 188–195.
ASE-2016-LiLQHBYCL #constraints #execution #machine learning #symbolic computation #theorem proving
Symbolic execution of complex program driven by machine learning based constraint solving (XL, YL, HQ, YQH, LB, YY, XC, XL), pp. 554–559.
JCDL-2005-HuangLC #approach #collaboration #predict
Link prediction approach to collaborative filtering (ZH, XL, HC), pp. 141–142.
JCDL-2007-LiCZL #automation #case study #classification #network #using
Automatic patent classification using citation network information: an experimental study in nanotechnology (XL, HC, ZZ, JL), pp. 419–427.
JCDL-2009-LiC #approach #graph #kernel #machine learning #predict #recommendation
Recommendation as link prediction: a graph kernel-based machine learning approach (XL, HC), pp. 213–216.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.