860 papers:
- CBSE-2015-DanielsPCJH #component #low cost #metadata #named #pervasive
- Refraction: Low-Cost Management of Reflective Meta-Data in Pervasive Component-Based Applications (WD, JP, DC, WJ, DH), pp. 27–36.
- DAC-2015-CampbellVPC #detection #fault #low cost #synthesis
- High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapaths (KAC, PV, DZP, DC), p. 6.
- DAC-2015-JiangWS #clustering #power management #sorting
- A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space (ZJ, QW, MS), p. 6.
- DAC-2015-KlineXMJ #energy #memory management
- Domain-wall memory buffer for low-energy NoCs (DKJ, HX, RGM, AKJ), p. 6.
- DAC-2015-KonukMMRSTZ #design
- Design for low test pattern counts (HK, EKM, NM, JR, DS, JT, JZ), p. 6.
- DAC-2015-LiCSHLWY #hybrid #power management
- A STT-RAM-based low-power hybrid register file for GPGPUs (GL, XC, GS, HH, YL, YW, HY), p. 6.
- DAC-2015-RakshitWLGM #design #power management #robust
- Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM design (JR, RW, KTL, JG, KM), p. 6.
- DAC-2015-ShafiqueAHH #configuration management #latency
- A low latency generic accuracy configurable adder (MS, WA, RH, JH), p. 6.
- DATE-2015-0001B #clustering #energy #manycore #performance
- A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters (FC, LB), pp. 683–688.
- DATE-2015-AmirhosseinRBCM #power management
- An all-digital spike-based ultra-low-power IR-UWB dynamic average threshold crossing scheme for muscle force wireless transmission (MSA, PMR, AB, MC, MM, DD, GM), pp. 1479–1484.
- DATE-2015-BalboniFB #configuration management #distributed #latency #multi #network #scalability
- Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration (MB, JF, DB), pp. 806–811.
- DATE-2015-BortolottiMBRSB #monitoring #power management
- An ultra-low power dual-mode ECG monitor for healthcare and wellness (DB, MM, AB, RR, GS, LB), pp. 1611–1616.
- DATE-2015-GaillardonTSTOS #power management
- A ultra-low-power FPGA based on monolithically integrated RRAMs (PEG, XT, JS, MT, SRO, DS, YL, GDM), pp. 1203–1208.
- DATE-2015-GomezPBRBFG #design #energy
- Reducing energy consumption in microcontroller-based platforms with low design margin co-processors (AG, CP, AB, DR, LB, HF, JPdG), pp. 269–272.
- DATE-2015-HanyuSOMNM #architecture #in memory #paradigm #power management #reliability #towards
- Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm (TH, DS, NO, SM, MN, AM), pp. 1006–1011.
- DATE-2015-HernandezA #low cost #safety
- Low-cost checkpointing in automotive safety-relevant systems (CH, JA), pp. 91–96.
- DATE-2015-HuQ #approximate #fault
- A new approximate adder with low relative error and correct sign calculation (JH, WQ), pp. 1449–1454.
- DATE-2015-KalaliH #2d #adaptation #energy #hardware
- A low energy 2D adaptive median filter hardware (EK, IH), pp. 725–729.
- DATE-2015-KroeningLMST #bytecode #effectiveness #low level #verification
- Effective verification of low-level software with nested interrupts (DK, LL, TM, PS, MT), pp. 229–234.
- DATE-2015-KunduBK #design #fault #testing
- Fault diagnosis in designs with extreme low pin test data compressors (SK, PB, RK), pp. 1285–1288.
- DATE-2015-LiLZGSSZCLY #energy #performance
- An energy efficient backup scheme with low inrush current for nonvolatile SRAM in energy harvesting sensor nodes (HL, YL, QZ, YG, XS, GS, CZ, MFC, RL, HY), pp. 7–12.
- DATE-2015-MamaghanianV #design #power management
- Ultra-low-power ECG front-end design based on compressed sensing (HM, PV), pp. 671–676.
- DATE-2015-MavropoulosKN #architecture #configuration management
- A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
- DATE-2015-MuzaffarYSE #power management
- A pulsed-index technique for single-channel, low-power, dynamic signaling (SM, JY, AS, IAME), pp. 1485–1490.
- DATE-2015-ParkAHYL #big data #energy #gpu #low cost #memory management #performance
- Memory fast-forward: a low cost special function unit to enhance energy efficiency in GPU for big data processing (EP, JA, SH, SY, SL), pp. 1341–1346.
- DATE-2015-Sharma #optimisation #power management
- Minimum current consumption transition time optimization methodology for low power CTS (VS), pp. 412–416.
- DATE-2015-ZhangYWLC #design #logic #power management
- Giant spin hall effect (GSHE) logic design for low power application (YZ, BY, WW, HL, YC), pp. 1000–1005.
- HT-2015-ApaolazaHJ #analysis #behaviour #interactive #low level #web
- Longitudinal Analysis of Low-Level Web Interaction through Micro Behaviours (AA, SH, CJ), pp. 337–340.
- TACAS-2015-DjoudiB #analysis #low level #named
- BINSEC: Binary Code Analysis with Low-Level Regions (AD, SB), pp. 212–217.
- SAS-2015-AldousM #low level #static analysis
- Static Analysis of Non-interference in Expressive Low-Level Languages (PA, MM), pp. 1–17.
- STOC-2015-CohenEMMP #approximate #clustering #rank #reduction
- Dimensionality Reduction for k-Means Clustering and Low Rank Approximation (MBC, SE, CM, CM, MP), pp. 163–172.
- STOC-2015-DinurHK #composition #fault #query
- Polynomially Low Error PCPs with polyloglog n Queries via Modular Composition (ID, PH, GK), pp. 267–276.
- ICALP-v1-2015-FeldmannFKP #bound #graph
- A (1+ε ) ( 1 + ε ) -Embedding of Low Highway Dimension Graphs into Bounded Treewidth Graphs (AEF, WSF, JK, IP), pp. 469–480.
- ICALP-v1-2015-KayalKPS #bound
- Lower Bounds for Sums of Powers of Low Degree Univariates (NK, PK, TP, CS), pp. 810–821.
- ICALP-v2-2015-Feldmann #approximate #graph #parametricity #problem
- Fixed Parameter Approximations for k-Center Problems in Low Highway Dimension Graphs (AEF), pp. 588–600.
- CHI-2015-BeyerGMCB #3d #named
- Platener: Low-Fidelity Fabrication of 3D Objects by Substituting 3D Print with Laser-Cut Plates (DB, SG, SM, HTC, PB), pp. 1799–1806.
- CHI-2015-LatulipeGNMQBSA #design
- Design Considerations for Patient Portal Adoption by Low-Income, Older Adults (CL, AG, HTN, DPM, SAQ, AGB, AS, TAA), pp. 3859–3868.
- CHI-2015-Ruiz0 #bias #constraints #elicitation #gesture #legacy #performance
- Soft-Constraints to Reduce Legacy and Performance Bias to Elicit Whole-body Gestures with Low Arm Fatigue (JR, DV), pp. 3347–3350.
- CSCW-2015-Medhi-ThiesFGOC #named #network #social
- KrishiPustak: A Social Networking System for Low-Literate Farmers (IMT, PF, NG, JO, EC), pp. 1670–1681.
- CSCW-2015-SchaefbauerKLSS #product line
- Snack Buddy: Supporting Healthy Snacking in Low Socioeconomic Status Families (CLS, DUK, AL, GS, KAS), pp. 1045–1057.
- HCI-IT-2015-BhanushaliMV #embedded #gesture #interface #low cost #recognition
- A Dome-Shaped Interface Embedded with Low-Cost Infrared Sensors for Car-Game Control by Gesture Recognition (JB, SPM, KV), pp. 575–583.
- HIMI-IKC-2015-Bretschneider-Hagemes #development #low cost #mobile #multi #question #simulation
- Development of a New Low Cost Driving Simulation for Assessing Multidimensional Task Loads Caused by Mobile ICT at Drivers’ Workplaces. — Objective-Fidelity Beats Equipment-Fidelity? (MBH), pp. 173–179.
- ICML-2015-BounliphoneGTB #consistency #dependence
- A low variance consistent test of relative dependency (WB, AG, AT, MBB), pp. 20–29.
- ICML-2015-HazanLM #classification #rank
- Classification with Low Rank and Missing Data (EH, RL, YM), pp. 257–266.
- ICML-2015-UbaruMS #approximate #fault #matrix #rank #using
- Low Rank Approximation using Error Correcting Coding Matrices (SU, AM, YS), pp. 702–710.
- ICML-2015-YuCL #learning #multi #online #rank
- Accelerated Online Low Rank Tensor Learning for Multivariate Spatiotemporal Streams (RY, DC, YL), pp. 238–247.
- ICML-2015-ZukW #matrix #metric #rank
- Low-Rank Matrix Recovery from Row-and-Column Affine Measurements (OZ, AW), pp. 2012–2020.
- KDD-2015-BabaKNKGIAKIHKS #low cost #predict
- Predictive Approaches for Low-Cost Preventive Medicine Program in Developing Countries (YB, HK, YN, EK, PPG, RIM, AA, MK, SI, TH, MK, SS, KK, KT, MS, MB, NU, MK, NN), pp. 1681–1690.
- KDD-2015-FrenoSJA #modelling #ranking #recommendation
- One-Pass Ranking Models for Low-Latency Product Recommendations (AF, MS, RJ, CA), pp. 1789–1798.
- KDD-2015-HouWGD #clustering #programming #rank
- Non-exhaustive, Overlapping Clustering via Low-Rank Semidefinite Programming (YH, JJW, DFG, ISD), pp. 427–436.
- OOPSLA-2015-0003KLS #data type #memory management #multi #performance #scalability
- Fast, multicore-scalable, low-fragmentation memory allocation through large virtual memory and global data structures (MA, CMK, ML, AS), pp. 451–469.
- HPCA-2015-FujiwaraKOMC #network
- Augmenting low-latency HPC network with free-space optical links (IF, MK, TO, HM, HC), pp. 390–401.
- HPDC-2015-GuoCWZ
- Bidding for Highly Available Services with Low Price in Spot Instance Market (WG, KC, YW, WZ), pp. 191–202.
- PPoPP-2015-ZhangHCB #memory management #semantics #transaction
- Low-overhead software transactional memory with progress guarantees and strong semantics (MZ, JH, MC, MDB), pp. 97–108.
- SOSP-2015-LeePKMO #implementation #latency #scalability
- Implementing linearizability at large scale and low latency (CL, SJP, AK, SM, JKO), pp. 71–86.
- CASE-2014-VaskeviciusP0 #locality #low cost #recognition
- Fitting superquadrics in noisy, partial views from a low-cost RGBD sensor for recognition and localization of sacks in autonomous unloading of shipping containers (NV, KP, AB), pp. 255–262.
- DAC-2014-AlbalawiLL #algorithm #classification #design #fixpoint #implementation #machine learning #power management
- Computer-Aided Design of Machine Learning Algorithm: Training Fixed-Point Classifier for On-Chip Low-Power Implementation (HA, YL, XL), p. 6.
- DAC-2014-BraojosMJAARM #design #monitoring #power management #smarttech
- Ultra-Low Power Design of Wearable Cardiac Monitoring Systems (RB, HM, ADJ, GA, DA, FJR, SM), p. 6.
- DAC-2014-ClercqUHV #implementation #power management
- Ultra Low-Power implementation of ECC on the ARM Cortex-M0+ (RdC, LU, AVH, IV), p. 6.
- DAC-2014-GuinZFT #low cost
- Low-cost On-Chip Structures for Combating Die and IC Recycling (UG, XZ, DF, MT), p. 6.
- DAC-2014-IyengarG #analysis #embedded #memory management #modelling #power management #robust
- Modeling and Analysis of Domain Wall Dynamics for Robust and Low-Power Embedded Memory (AI, SG), p. 6.
- DAC-2014-LinWC #data mining #design #logic #mining #named #power management #synthesis
- C-Mine: Data Mining of Logic Common Cases for Low Power Synthesis of Better-Than-Worst-Case Designs (CHL, LW, DC), p. 6.
- DAC-2014-QiuLX #performance #power management
- Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM (KQ, QL, CJX), p. 6.
- DAC-2014-ZalmanM #communication #low cost
- A Secure but still Safe and Low Cost Automotive Communication Technique (RZ, AM), p. 5.
- DAC-2014-ZhangPL #hardware #power management
- Low Power GPGPU Computation with Imprecise Hardware (HZ, MP, JL), p. 6.
- DATE-2014-AshammagariMH #configuration management #design #functional #performance #power management
- Exploiting STT-NV technology for reconfigurable, high performance, low power, and low temperature functional unit design (ARA, HM, HH), pp. 1–6.
- DATE-2014-BishnoiEOT #power management #symmetry #termination
- Asynchronous Asymmetrical Write Termination (AAWT) for a low power STT-MRAM (RB, ME, FO, MBT), pp. 1–6.
- DATE-2014-BortolottiBWRB #architecture #hybrid #manycore #memory management #power management #scalability
- Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
- DATE-2014-BraojosDBAA #approach #hardware #manycore #power management
- Hardware/software approach for code synchronization in low-power multi-core sensor nodes (RB, AYD, IB, GA, DA), pp. 1–6.
- DATE-2014-DuricPSUCVB #execution #named #power management
- EVX: Vector execution on low power EDGE cores (MD, OP, AS, OSÜ, AC, MV, DB), pp. 1–4.
- DATE-2014-FarbehM #architecture #fault tolerance #low cost #memory management #named
- PSP-Cache: A low-cost fault-tolerant cache memory architecture (HF, SGM), pp. 1–4.
- DATE-2014-LeeA #architecture #hybrid #novel #power management #using
- A novel low power 11-bit hybrid ADC using flash and delay line architectures (HCL, JAA), pp. 1–4.
- DATE-2014-LinZH #low cost
- A low-cost radiation hardened flip-flop (YL, MZ, BH), pp. 1–6.
- DATE-2014-LiuHL #approximate #configuration management #fault #multi #power management
- A low-power, high-performance approximate multiplier with configurable partial error recovery (CL, JH, FL), pp. 1–4.
- DATE-2014-MacrelliWRHPTR #design #energy
- Design and fabrication of a 315 μΗ bondwire micro-transformer for ultra-low voltage energy harvesting (EM, NW, SR, MH, RPP, MT, AR), pp. 1–4.
- DATE-2014-MatsutaniKFKTKBMA #3d #random
- Low-latency wireless 3D NoCs via randomized shortcut chips (HM, MK, IF, TK, YT, TK, PB, RM, HA), pp. 1–6.
- DATE-2014-NathanS #detection #fault #low cost #named
- Nostradamus: Low-cost hardware-only error detection for processor cores (RN, DJS), pp. 1–6.
- DATE-2014-NejatAA #power management #process
- Dynamic Flip-Flop conversion to tolerate process variation in low power circuits (MN, BA, AAK), pp. 1–4.
- DATE-2014-PrenatPLGJDSPN #logic #power management
- Magnetic memories: From DRAM replacement to ultra low power logic chips (GP, GdP, CL, OG, KJ, BD, RCS, ILP, JPN), p. 1.
- DATE-2014-PuEMG #logic #power management #scalability #synthesis
- Logic synthesis of low-power ICs with ultra-wide voltage and frequency scaling (YP, JDE, MM, JPdG), pp. 1–2.
- DATE-2014-SunMSPL #design #power management #robust
- A low power and robust carbon nanotube 6T SRAM design with metallic tolerance (LS, JM, RAS, DKP, ZL), pp. 1–4.
- DATE-2014-TrivediAM #power management
- Ultra-low power electronics with Si/Ge tunnel FET (ART, MFA, SM), pp. 1–6.
- DATE-2014-ZhangZKKQZRC #power management
- Spintronics for low-power computing (YZ, WZ, JOK, WK, DQ, YZ, DR, CC), pp. 1–6.
- DATE-2014-ZschieschangRKTZLBRBXMK #flexibility
- Low-voltage organic transistors for flexible electronics (UZ, RR, UK, KT, TZ, FL, JB, HR, JNB, WX, BM, HK), pp. 1–6.
- PODS-2014-BenediktCT #generative #low cost #proving
- Generating low-cost plans from proofs (MB, BtC, ET), pp. 200–211.
- PODS-2014-DurandSS #database #first-order #query
- Enumerating answers to first-order queries over databases of low degree (AD, NS, LS), pp. 121–131.
- VLDB-2014-CaoYX #database #low cost #performance
- Realization of the Low Cost and High Performance MySQL Cloud Database (WC, FY, JX), pp. 1742–1747.
- VLDB-2014-RoyTG
- Low-Latency Handshake Join (PR, JT, RG), pp. 709–720.
- VLDB-2014-YuYWLC #big data #classification #design #detection #power management
- Big Data Small Footprint: The Design of A Low-Power Classifier for Detecting Transportation Modes (MCY, TY, SCW, CJL, EYC), pp. 1429–1440.
- ICSME-2014-PonzanelliMBLF #detection #quality #stack overflow
- Improving Low Quality Stack Overflow Post Detection (LP, AM, AB, ML, DF), pp. 541–544.
- PLDI-2014-PhothilimthanaJSTCB #architecture #compilation #named #power management
- Chlorophyll: synthesis-aided compiler for low-power spatial architectures (PMP, TJ, RS, NT, SC, RB), p. 42.
- STOC-2014-DeS #approximate #performance #polynomial
- Efficient deterministic approximate counting for low-degree polynomial threshold functions (AD, RAS), pp. 832–841.
- STOC-2014-GuruswamiHHSV
- Super-polylogarithmic hypergraph coloring hardness via low-degree long codes (VG, PH, JH, SS, GV), pp. 614–623.
- ICALP-v1-2014-TulsianiWZ #game studies #graph #parallel #rank
- Optimal Strong Parallel Repetition for Projection Games on Low Threshold Rank Graphs (MT, JW, YZ), pp. 1003–1014.
- IFL-2014-Christiansen #low level #syntax
- Type-Directed Elaboration of Quasiquotations: A High-Level Syntax for Low-Level Reflection (DRC), p. 1.
- CHI-2014-ArreolaMFCCW #design
- From checking on to checking in: designing for low socio-economic status older adults (IA, ZM, MF, KC, KEC, GEW), pp. 1933–1936.
- CHI-2014-GocTIK #3d #interactive #low cost #mobile
- A low-cost transparent electric field sensor for 3d interaction on mobile devices (MLG, ST, SI, CK), pp. 3167–3170.
- CHI-2014-VinesDM
- Pay or delay: the role of technology when managing a low income (JV, PD, AM), pp. 501–510.
- DHM-2014-FacoettiVCR #low cost #modelling
- A Low Cost Haptic Mouse for Prosthetic Socket Modeling (GF, AV, GC, CR), pp. 508–515.
- DUXU-ELAS-2014-HungS #case study #design #visual notation
- Visual Design in Healthcare for Low-Literate Users — A Case Study of Healthcare Leaflets for New Immigrants in Taiwan (YLH, CS), pp. 44–55.
- DUXU-TMT-2014-KulpaA #evaluation #interface #tablet #usability
- Evaluation of Tablet PC Application Interfaces with Low Vision Users: Focusing on Usability (CCK, FGA), pp. 273–284.
- HCI-TMT-2014-HongKCK #3d
- 3D Face-Aware Electronics with Low-Resolution Imaging (YJH, JK, JC, IJK), pp. 603–610.
- LCT-NLE-2014-WangLC #learning #online #student
- Low-Achieving Students’ Perceptions of Online Language Learning: A Case of English Proficiency Threshold (ALW, YCL, SFC), pp. 250–258.
- AdaEurope-2014-HanisS #development #maintenance
- OBUs’ Development and Maintenance of a Train Control System for Low Density Traffic Lines (GH, BS), pp. 166–176.
- CAiSE-2014-FolinoGP #low level #mining #modelling #multi #predict #process
- Mining Predictive Process Models out of Low-level Multidimensional Logs (FF, MG, LP), pp. 533–547.
- ICML-c1-2014-ErmonGSS #constraints #integration
- Low-density Parity Constraints for Hashing-Based Discrete Integration (SE, CPG, AS, BS), pp. 271–279.
- ICML-c2-2014-HaeffeleYV #algorithm #image #matrix #rank
- Structured Low-Rank Matrix Factorization: Optimality, Algorithm, and Applications to Image Processing (BDH, EY, RV), pp. 2007–2015.
- ICML-c2-2014-PapailiopoulosMDC #optimisation #rank
- Finding Dense Subgraphs via Low-Rank Bilinear Optimization (DSP, IM, AGD, CC), pp. 1890–1898.
- ICML-c2-2014-RaiWGCDC #composition #multi #rank #scalability
- Scalable Bayesian Low-Rank Decomposition of Incomplete Multiway Tensors (PR, YW, SG, GC, DBD, LC), pp. 1800–1808.
- ICPR-2014-ChanK #geometry #identification #image #using
- Using Leg Geometry to Align Androgenic Hair Patterns in Low Resolution Images for Criminal and Victim Identification (FKSC, AWKK), pp. 495–500.
- ICPR-2014-DengHXC #analysis #composition #matrix #rank
- Sparse and Low Rank Matrix Decomposition Based Local Morphological Analysis and Its Application to Diagnosis of Cirrhosis Livers (JD, XHH, GX, YWC), pp. 3363–3368.
- ICPR-2014-GaoEE #3d #recognition #robust
- A Low Dimensionality Expression Robust Rejector for 3D Face Recognition (JG, ME, ANE), pp. 506–511.
- ICPR-2014-NathLHWBS #automation #behaviour #recognition #social
- Automated Social Behaviour Recognition at Low Resolution (TN, GL, BH, BW, SDB, PS), pp. 2323–2328.
- ICPR-2014-QuachDB #approximate #rank #recognition #representation #robust
- Sparse Representation and Low-Rank Approximation for Robust Face Recognition (KGQ, CND, TDB), pp. 1330–1335.
- ICPR-2014-TaoIWS #approximate #data transformation #rank #representation
- Ensemble Manifold Structured Low Rank Approximation for Data Representation (LT, HHSI, YW, XS), pp. 744–749.
- ICPR-2014-YangLLZ #consistency #geometry #image #rank
- Low Rank Global Geometric Consistency for Partial-Duplicate Image Search (LY, YL, ZL, HZ), pp. 3939–3944.
- ICPR-2014-ZhangWGZZ #analysis #using #verification
- Low Computation Face Verification Using Class Center Analysis (XZ, JW, YG, SZ, SZ), pp. 4543–4547.
- KDD-2014-ChenC #incremental #named #rank #set
- LWI-SVD: low-rank, windowed, incremental singular value decompositions on time-evolving data sets (XC, KSC), pp. 987–996.
- KDD-2014-ChenC14a #spreadsheet
- Integrating spreadsheet data via accurate and low-effort extraction (ZC, MJC), pp. 1126–1135.
- KDD-2014-LiWW #matrix #rank #testing
- Improved testing of low rank matrices (YL, ZW, DPW), pp. 691–700.
- KDD-2014-ParkG #algorithm #health #named #rank #re-engineering
- LUDIA: an aggregate-constrained low-rank reconstruction algorithm to leverage publicly released health data (YP, JG), pp. 55–64.
- SEKE-2014-KaurKF #low cost #mining #using #web
- Using Web Mining to Support Low Cost Historical Vehicle Traffic Analytics (CK, DK, BHF), pp. 365–370.
- SIGIR-2014-TongWZ #corpus #taxonomy
- Principled dictionary pruning for low-memory corpus compression (JT, AW, JZ), pp. 283–292.
- ECOOP-2014-OkurED #abstraction #low level #parallel
- Converting Parallel Code from Low-Level Abstractions to Higher-Level Abstractions (SO, CE, DD), pp. 515–540.
- PPDP-2014-Schopp #low level #source code #using
- Organising Low-Level Programs using Higher Types (US), pp. 199–210.
- SAC-2014-HeimfarthGFA #latency #named #protocol
- GB-MAC: a backbone based low latency protocol for WSNs (TH, JCG, AVF, JPdA), pp. 692–698.
- SAC-2014-KlingerLRMLGSFM #low cost
- A low cost digital operating room (AK, GLdL, VR, GM, GL, VG, FSdS, MDF, MBM), pp. 36–37.
- SAC-2014-LiuCM #ad hoc #approach #composition #mobile #network
- A low-latency service composition approach in mobile ad hoc networks (CL, JC, FLM), pp. 509–511.
- SAC-2014-PatrignaniC #low level #semantics
- Fully abstract trace semantics for low-level isolation mechanisms (MP, DC), pp. 1562–1569.
- ASPLOS-2014-WoodCG #detection #low level
- Low-level detection of language-level data races with LARD (BPW, LC, DG), pp. 671–686.
- HPCA-2014-HuangHFS #concurrent #data transformation #detection #metadata #runtime
- Low-overhead and high coverage run-time race detection through selective meta-data management (RCH, EH, AF, GES), pp. 96–107.
- HPCA-2014-WangDDS #concurrent #memory management #multi #named #predict #source code #thread
- DraMon: Predicting memory bandwidth usage of multi-threaded programs with high accuracy and low overhead (WW, TD, JWD, MLS), pp. 380–391.
- HPDC-2014-XueYQHD #concurrent #graph #low cost #named #performance
- Seraph: an efficient, low-cost system for concurrent graph processing (JX, ZY, ZQ, SH, YD), pp. 227–238.
- OSDI-2014-BelayPKGKB #latency #named #operating system #throughput
- IX: A Protected Dataplane Operating System for High Throughput and Low Latency (AB, GP, AK, SG, CK, EB), pp. 49–65.
- CASE-2013-RockeyPN #evaluation #low cost
- An evaluation of low-cost sensors for smart wheelchairs (CAR, EMP, WSN), pp. 249–254.
- CASE-2013-WeeklyRZBNS #detection #low cost #matter
- Low-cost coarse airborne particulate matter sensing for indoor occupancy detection (KW, DR, LZ, AMB, WWN, CJS), pp. 32–37.
- CASE-2013-YiTLL #optimisation #parametricity
- Optimization of CNC machine processing parameters for low carbon manufacturing (QY, YT, CL, PL), pp. 498–503.
- CASE-2013-ZhangPJST #energy #interface #monitoring #scalability #using
- A secure and scalable telemonitoring system using ultra-low-energy wireless sensor interface for long-term monitoring in life science applications (WZ, PP, EJ, RS, KT), pp. 617–622.
- DAC-2013-FanRRV #design #encryption #energy #security
- Low-energy encryption for medical devices: security adds an extra design dimension (JF, OR, VR, IV), p. 6.
- DAC-2013-MaricAV #adaptation #energy #hybrid #named #predict #reliability
- APPLE: adaptive performance-predictable low-energy caches for reliable hybrid voltage operation (BM, JA, MV), p. 8.
- DAC-2013-OnizawaG #clustering #network #power management #scalability
- Low-power area-efficient large-scale IP lookup engine based on binary-weighted clustered networks (NO, WJG), p. 6.
- DAC-2013-SharadFR #memory management #power management
- Ultra low power associative computing with spin neurons and resistive crossbar memory (MS, DF, KR), p. 6.
- DAC-2013-SunWL #design #memory management #power management
- Cross-layer racetrack memory design for ultra high density and low power consumption (ZS, WW, HHL), p. 6.
- DAC-2013-TrivediCM #case study #power management
- Exploring tunnel-FET for ultra low power analog applications: a case study on operational transconductance amplifier (ART, SC, SM), p. 6.
- DAC-2013-YuanX #fault #logic #low cost #named #scalability
- InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits (FY, QX), p. 6.
- DATE-2013-AnanthanarayananGP #detection #fault #low cost #set #using
- Low cost permanent fault detection using ultra-reduced instruction set co-processors (SA, SG, HDP), pp. 933–938.
- DATE-2013-BoettcherGAK #energy #multi #named
- MALEC: a multiple access low energy cache (MB, GG, BMAH, DK), pp. 368–373.
- DATE-2013-DarbelL #power management
- SoC low-power practices for wireless applications (ND, SL), p. 778.
- DATE-2013-DoganBCABA #analysis #embedded #execution #multi #power management
- Synchronizing code execution on ultra-low-power embedded multi-channel signal analysis platforms (AYD, RB, JC, GA, AB, DA), pp. 396–399.
- DATE-2013-GrimmMP #challenge #power management
- Ultra-low power: an EDA challenge (CG, JM, XP), p. 483.
- DATE-2013-GuoYZC #hybrid #low cost
- Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer (JG, JY, YZ, YC), pp. 859–864.
- DATE-2013-HuYH0 #concurrent #low cost #multi #named #thread
- Orchestrator: a low-cost solution to reduce voltage emergencies for multi-threaded applications (XH, GY, YH, XL), pp. 208–213.
- DATE-2013-KhanBBSH #video
- An H.264 Quad-FullHD low-latency intra video encoder (MUKK, JMB, LB, MS, JH), pp. 115–120.
- DATE-2013-KodakaTSYKTXSUTMM #manycore #power management #predict
- A near-future prediction method for low power consumption on a many-core processor (TK, AT, SS, AY, TK, TT, HX, TS, HU, JT, TM, NM), pp. 1058–1059.
- DATE-2013-LaiCAG #monitoring #named #online
- SlackProbe: a low overhead in situ on-line timing slack monitoring methodology (LL, VC, RCA, PG), pp. 282–287.
- DATE-2013-LeeVTS #design #energy #performance
- Design of low energy, high performance synchronous and asynchronous 64-point FFT (WL, VSV, ART, KSS), pp. 242–247.
- DATE-2013-LorenteVSPCLD #power management #ram
- Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes (VL, AV, JS, SP, RC, PL, JD), pp. 83–88.
- DATE-2013-LotfianJ #architecture #hardware #power management #smarttech #using
- An ultra-low power hardware accelerator architecture for wearable computers using dynamic time warping (RL, RJ), pp. 913–916.
- DATE-2013-MiyamoriXKUST #development #manycore #power management
- Development of low power many-core SoC for multimedia applications (TM, HX, TK, HU, TS, JT), pp. 773–777.
- DATE-2013-ParkQPC #embedded #logic #self
- 40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS (SP, MQ, LSP, APC), pp. 1637–1642.
- DATE-2013-PerelliCMBMB #design #health #monitoring #power management
- Design of an ultra-low power device for aircraft structural health monitoring (AP, CC, LDM, DB, AM, LB), pp. 1127–1130.
- DATE-2013-PorcarelliBBP #industrial #low cost #monitoring
- Perpetual and low-cost power meter for monitoring residential and industrial appliances (DP, DB, DB, GP), pp. 1155–1160.
- DATE-2013-RethyDSDG #interface #network #power management
- A low-power and low-voltage BBPLL-based sensor interface in 130nm CMOS for wireless sensor networks (JVR, HD, VDS, WD, GGEG), pp. 1431–1435.
- DATE-2013-RustLP #architecture #complexity #using
- Low complexity QR-decomposition architecture using the logarithmic number system (JR, FL, SP), pp. 97–102.
- DATE-2013-SarrazinENBG #concurrent #design #detection #fault #performance
- Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection (SS, SE, LAdBN, YB, VG), pp. 1077–1082.
- DATE-2013-StanisicVCDMLM #analysis #embedded #performance #power management
- Performance analysis of HPC applications on low-power embedded platforms (LS, BV, JC, AD, VMM, AL, JFM), pp. 475–480.
- DATE-2013-YingHH #3d #performance
- Fast and optimized task allocation method for low vertical link density 3-dimensional networks-on-chip based many core systems (HY, TH, KH), pp. 1777–1782.
- DATE-2013-ZordanBDGTVB #fault #power management
- Test solution for data retention faults in low-power SRAMs (LBZ, AB, LD, PG, AT, AV, NB), pp. 442–447.
- DRR-2013-MaA #documentation #framework #image
- A super resolution framework for low resolution document image OCR (DM, GA).
- ICDAR-2013-LuTLGWW #image #segmentation #tablet
- Stroke-Based Character Segmentation of Low-Quality Images on Ancient Chinese Tablet (XL, ZT, YL, LG, TW, ZW), pp. 240–244.
- ICDAR-2013-ZhangLSM #invariant #rank
- Rectification of Optical Characters as Transform Invariant Low-Rank Textures (XZ, ZL, FS, YM), pp. 393–397.
- VLDB-2013-MahmoudNPAA #commit #database #multi #using
- Low-Latency Multi-Datacenter Databases using Replicated Commit (HAM, FN, AP, DA, AEA), pp. 661–672.
- VLDB-2013-Rao0HT #keyword #named #performance
- Bitlist: New Full-text Index for Low Space Cost and Efficient Keyword Search (WR, LC, PH, ST), pp. 1522–1533.
- TACAS-2013-DudkaMPV #contest #low level #named #verification
- Predator: A Tool for Verification of Low-Level List Manipulation — (Competition Contribution) (KD, PM, PP, TV), pp. 627–629.
- PLDI-2013-LifflanderKK
- Steal Tree: low-overhead tracing of work stealing schedulers (JL, SK, LVK), pp. 507–518.
- SAS-2013-DudkaPV #low level #verification
- Byte-Precise Verification of Low-Level List Manipulation (KD, PP, TV), pp. 215–237.
- STOC-2013-ClarksonW #approximate #rank
- Low rank approximation and regression in input sparsity time (KLC, DPW), pp. 81–90.
- STOC-2013-JainNS #matrix #rank #using
- Low-rank matrix completion using alternating minimization (PJ, PN, SS), pp. 665–674.
- STOC-2013-MengM #linear #robust
- Low-distortion subspace embeddings in input-sparsity time and applications to robust linear regression (XM, MWM), pp. 91–100.
- ICALP-v1-2013-GilbertNPRS
- ℓ2/ℓ2-Foreach Sparse Recovery with Low Risk (ACG, HQN, EP, AR, MJS), pp. 461–472.
- CHI-2013-AceitunoCR #how
- How low can you go?: human limits in small unidirectional mouse movements (JA, GC, NR), pp. 1383–1386.
- CHI-2013-CuendetMBC #named #video
- VideoKheti: making video content accessible to low-literate and novice users (SC, IM, KB, EC), pp. 2833–2842.
- CHI-2013-RazaHTPRSR #speech
- Job opportunities through entertainment: virally spread speech-based services for low-literate users (AAR, FuH, ZT, MP, SR, US, RR), pp. 2803–2812.
- CHI-2013-ZhuZ #agile #automation #low cost #named #prototype #tool support
- AutoGami: a low-cost rapid prototyping toolkit for automated movable paper craft (KZ, SZ), pp. 661–670.
- CSCW-2013-MahelaquaBRSST #web
- Community-oriented spoken web browser for low iiterate users (M, SB, NR, KS, SS, JCT), pp. 503–514.
- DHM-SET-2013-HungCSC #analysis #case study #comparative #education #effectiveness #women
- A Comparative Analysis of the Educational Effectiveness of Leaflet and Website for Low-Literate Patients — A Case Study of Immigrant Mothers in Taipei (YLH, KRC, CS, TC), pp. 204–213.
- DUXU-PMT-2013-KulpaTS #interface #usability
- A Color Model in the Usability of Computer Interface Applied to Users with Low Vision (CCK, FGT, RPdS), pp. 330–339.
- HCI-UC-2013-ModestoFA
- Search Engine Accessibility for Low-Literate Users (DMM, SBLF, AdSA), pp. 324–331.
- HIMI-HSM-2013-EverardJM #mobile #product line
- Enabling Access to Healthy Food Alternatives for Low-Income Families: The Role of Mobile Technology (AE, BMJ, SM), pp. 245–251.
- HIMI-HSM-2013-ItohKMYYO #behaviour #comparison
- Comparison of Cognitively Impaired, Healthy Non-Professional and Healthy Professional Driver Behavior on a Small and Low-Fidelity Driving Simulator (MI, MK, KM, KY, SY, MO), pp. 490–496.
- ICML-c2-2013-LeeKLS #approximate #matrix #rank
- Local Low-Rank Matrix Approximation (JL, SK, GL, YS), pp. 82–90.
- ICML-c3-2013-PapailiopoulosDK #approximate #rank
- Sparse PCA through Low-rank Approximations (DSP, AGD, SK), pp. 747–755.
- KDD-2013-CaiQ #analysis #linear #on the #rank
- On the equivalent of low-rank linear regressions and linear discriminant analysis based regressions (XC, CHQD, FN, HH), pp. 1124–1132.
- KDD-2013-ChiangLPY
- Inferring distant-time location in low-sampling-rate trajectories (MFC, YHL, WCP, PSY), pp. 1454–1457.
- KDD-2013-SutherlandPS #learning #matrix #rank
- Active learning and search on low-rank matrices (DJS, BP, JGS), pp. 212–220.
- KDIR-KMIS-2013-MelnichenkoB #automation #image #low level #random
- Automatic Image Annotation with Low-level Features and Conditional Random Fields (AM, AB), pp. 197–201.
- SIGIR-2013-LuWTZHZ #rank #ranking #scalability
- A low rank structural large margin method for cross-modal ranking (XL, FW, ST, ZZ, XH, YZ), pp. 433–442.
- POPL-2013-JensenBK #logic #low level
- High-level separation logic for low-level code (JBJ, NB, AK), pp. 301–314.
- CGO-2013-RodriguesCP #integer #performance #source code
- A fast and low-overhead technique to secure programs against integer overflows (RER, VHSC, FMQP), p. 11.
- HPCA-2013-ChangRLJ #comparison #energy #scalability
- Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM (MTC, PR, SLL, BJ), pp. 143–154.
- HPCA-2013-LeeKH0 #power management
- Skinflint DRAM system: Minimizing DRAM chip writes for low power (YL, SK, SH, JL), pp. 25–34.
- HPCA-2013-LeeKSLSM #architecture #latency #low cost
- Tiered-latency DRAM: A low latency and low cost DRAM architecture (DL, YK, VS, JL, LS, OM), pp. 615–626.
- LCTES-2013-KhudiaM #control flow #low cost #using
- Low cost control flow protection using abstract control signatures (DSK, SAM), pp. 3–12.
- LCTES-2013-LiJZHX #compilation #performance #power management
- Compiler directed write-mode selection for high performance low power volatile PCM (QL, LJ, YZ, YH, CJX), pp. 101–110.
- PPoPP-2013-WamhoffFFRM #concurrent #memory management #named #performance #thread #transaction
- FastLane: improving performance of software transactional memory for low thread counts (JTW, CF, PF, ER, GM), pp. 113–122.
- SOSP-2013-OusterhoutWZS #distributed #latency #named #scheduling
- Sparrow: distributed, low latency scheduling (KO, PW, MZ, IS), pp. 69–84.
- SOSP-2013-ZhangPZSAL #latency #transaction
- Transaction chains: achieving serializability with low latency in geo-distributed storage systems (YZ, RP, SZ, YS, MKA, JL), pp. 276–291.
- CAV-2013-ChatterjeeL #algorithm #markov #performance #process
- Faster Algorithms for Markov Decision Processes with Low Treewidth (KC, JL), pp. 543–558.
- CASE-2012-WangLC #algorithm #realtime
- Real-time path planning for low altitude flight based on A* algorithm and TF/TA algorithm (HW, QL, NC), pp. 837–842.
- DAC-2012-ChajiJ #low cost
- Generic low-cost characterization of Vth and mobility variations in LTPS TFTs for non-uniformity calibration of active-matrix OLED displays (GRC, JJ), pp. 182–187.
- DAC-2012-JungSB #off the shelf #using
- Tracking appliance usage information in residential settings using off-the-shelf low-frequency meters (DJ, AS, AB), pp. 163–168.
- DAC-2012-LeeKYBS #design #guidelines #power management
- Circuit and system design guidelines for ultra-low power sensor nodes (YL, YK, DY, DB, DS), pp. 1037–1042.
- DAC-2012-MorrisBZP #logic #named #using
- mLogic: ultra-low voltage non-volatile logic circuits using STT-MTJ devices (DM, DB, JG(Z, LTP), pp. 486–491.
- DAC-2012-Seok #design
- Decoupling capacitor design strategy for minimizing supply noise of ultra low voltage circuits (MS), pp. 968–973.
- DATE-2012-AkbariSFB #3d #low cost #named #performance #reliability
- AFRA: A low cost high performance reliable routing for 3D mesh NoCs (SA, AS, MF, RB), pp. 332–337.
- DATE-2012-AksoyCFM #design #finite
- Design of low-complexity digital finite impulse response filters on FPGAs (LA, EC, PFF, JCM), pp. 1197–1202.
- DATE-2012-DoganCRBA #architecture #design #health #manycore #monitoring #power management #smarttech
- Multi-core architecture design for ultra-low-power wearable health monitoring systems (AYD, JC, MR, AB, DA), pp. 988–993.
- DATE-2012-HanPC #architecture #configuration management #power management
- State-based full predication for low power coarse-grained reconfigurable architecture (KH, SP, KC), pp. 1367–1372.
- DATE-2012-KakoeeLB #architecture #clustering #communication #latency
- A resilient architecture for low latency communication in shared-L1 processor clusters (MRK, IL, LB), pp. 887–892.
- DATE-2012-MagnoMBPOB #network #power management
- Smart power unit with ultra low power radio trigger capabilities for wireless sensor networks (MM, SJM, DB, EMP, BO, LB), pp. 75–80.
- DATE-2012-MakosiejTVA #design #embedded #optimisation #power management
- Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization (AM, OT, AV, AA), pp. 93–98.
- DATE-2012-MorchePMV #architecture #named #power management
- UWB: Innovative architectures enable disruptive low power wireless applications (DM, MP, GM, PV), pp. 1156–1160.
- DATE-2012-SharmaCAHCD #power management #variability
- Ultra low power litho friendly local assist circuitry for variability resilient 8T SRAM (VS, SC, MA, JH, FC, WD), pp. 1042–1047.
- DATE-2012-TurturiciSFF #embedded #power management #realtime
- Low-power embedded system for real-time correction of fish-eye automotive cameras (MT, SS, LF, EF), pp. 340–341.
- DATE-2012-VeljkovicRV #generative #implementation #low cost #on the fly #random #testing
- Low-cost implementations of on-the-fly tests for random number generators (FV, VR, IV), pp. 959–964.
- DATE-2012-WalravensD #architecture #design #energy
- Design of a low-energy data processing architecture for WSN nodes (CW, WD), pp. 570–573.
- DATE-2012-WangJZD #design #power management
- Low power aging-aware register file design by duty cycle balancing (SW, TJ, CZ, GD), pp. 546–549.
- DATE-2012-WilleDOO #automation #design #power management #synthesis #using
- Automatic design of low-power encoders using reversible circuit synthesis (RW, RD, CO, AGO), pp. 1036–1041.
- DATE-2012-YuBL #adaptation #complexity #power management
- A complexity adaptive channel estimator for low power (ZY, CHvB, HL), pp. 1531–1536.
- VLDB-2012-YuanZWXYH #difference #optimisation #privacy #query #rank
- Low-Rank Mechanism: Optimizing Batch Queries under Differential Privacy (GY, ZZ, MW, XX, YY, ZH), pp. 1352–1363.
- STOC-2012-AbrahamN #using
- Using petal-decompositions to build a low stretch spanning tree (IA, ON), pp. 395–406.
- STOC-2012-Applebaum #generative #locality #pseudo #random
- Pseudorandom generators with long stretch and low locality from random local one-way functions (BA), pp. 805–816.
- STOC-2012-BartalGK #approximate #polynomial #problem
- The traveling salesman problem: low-dimensionality implies a polynomial time approximation scheme (YB, LAG, RK), pp. 663–672.
- STOC-2012-DeDFS #approximate #parametricity #problem
- Nearly optimal solutions for the chow parameters problem and low-weight approximation of halfspaces (AD, ID, VF, RAS), pp. 729–746.
- STOC-2012-ForbesS #on the #rank #testing
- On identity testing of tensors, low-rank recovery and compressed sensing (MAF, AS), pp. 163–172.
- SEFM-2012-VorobyovKS #approach #data flow #security
- A Low-Overhead, Value-Tracking Approach to Information Flow Security (KV, PK, PS), pp. 367–381.
- CHI-2012-CasiezRV #interactive
- 1 € filter: a simple speed-based low-pass filter for noisy input in interactive systems (GC, NR, DV), pp. 2527–2530.
- CHI-2012-KodagodaWRK #design #interactive #lessons learnt #visualisation
- Interactive visualization for low literacy users: from lessons learnt to design (NK, BLWW, CR, NK), pp. 1159–1168.
- CHI-2012-SambasivanC #comprehension
- Understanding negotiation in airtime sharing in low-income microenterprises (NS, EC), pp. 791–800.
- CSCW-2012-JuAL #game studies #low cost #using
- Using low cost game controllers to capture data for 6th grade science labs (WJ, UA, SL), pp. 1115–1124.
- CSCW-2012-KolkoHBSGKN #adaptation #collaboration
- Adapting collaborative radiological practice to low-resource environments (BEK, AH, WB, KS, WG, MK, RN), pp. 97–106.
- ICML-2012-KiralyT #algebra #approach #combinator #matrix #rank
- A Combinatorial Algebraic Approach for the Identifiability of Low-Rank Matrix Completion (FJK, RT), p. 100.
- ICML-2012-SavalleRV #estimation #matrix #rank
- Estimation of Simultaneously Sparse and Low Rank Matrices (PAS, ER, NV), p. 11.
- ICML-2012-YangO #clustering #composition #matrix #probability #rank
- Clustering by Low-Rank Doubly Stochastic Matrix Decomposition (ZY, EO), p. 94.
- ICML-2012-ZhangLLR #composition #rank
- Improved Nystrom Low-rank Decomposition with Priors (KZ, LL, JL, AR), p. 22.
- ICPR-2012-ArpitSF #rank #recognition
- Locality-constrained Low Rank Coding for face recognition (DA, GS, YF), pp. 1687–1690.
- ICPR-2012-ChattopadhyayJC #complexity #novel #video
- A novel low complexity TV video OCR system (TC, RJ, BBC), pp. 665–668.
- ICPR-2012-FuscoWY #mining
- Mining residential household information from low-resolution smart meter data (FF, MW, JWY), pp. 3545–3548.
- ICPR-2012-GuyonBZ #constraints #detection #matrix #rank #robust
- Foreground detection via robust low rank matrix factorization including spatial constraint with Iterative reweighted regression (CG, TB, EHZ), pp. 2805–2808.
- ICPR-2012-HsuNWC #component #using
- Pedestrian tracking in low contrast regions using aggregated background model and Silhouette Components (GSH, HPN, CHW, SLC), pp. 3680–3683.
- ICPR-2012-LinC #image
- Stereo matching on low intensity quantization images (HYL, XHC), pp. 2618–2621.
- ICPR-2012-LiuCZZ
- Fusion of low-and high-dimensional approaches by trackers sampling for generic human motion tracking (YL, JC, HZ, HZ), pp. 898–901.
- ICPR-2012-MansurMY #invariant #recognition
- View-invariant gait recognition from low frame-rate videos (AM, YM, YY), pp. 2383–2386.
- ICPR-2012-TabernikKBL #learning #low level #statistics #visual notation
- Learning statistically relevant edge structure improves low-level visual descriptors (DT, MK, MB, AL), pp. 1471–1474.
- ICPR-2012-VaradarajanZV #3d #video
- RGB and depth intra-frame Cross-Compression for low bandwidth 3D video (KMV, KZ, MV), pp. 955–958.
- ICPR-2012-ZhangSLZS #image #reduction
- Enhancement and noise reduction of very low light level images (XZ, PS, LL, LZ, JS), pp. 2034–2037.
- KDD-2012-GleichS #community
- Vertex neighborhoods, low conductance cuts, and good seeds for local community methods (DFG, CS), pp. 597–605.
- KDD-2012-HsiehCD #modelling #network #rank
- Low rank modeling of signed networks (CJH, KYC, ISD), pp. 507–515.
- KDD-2012-ZhangL #component #constraints #mining #rank #recognition
- Mining discriminative components with low-rank and sparsity constraints for face recognition (QZ, BL), pp. 1469–1477.
- KEOD-2012-AlirezaieL #classification #low level #ontology
- Ontology Alignment for Classification of Low Level Sensor Data (MA, AL), pp. 89–97.
- KMIS-2012-Bani-HaniHJ #enterprise
- Economic Benefits of an ERP System to a Low Tech SME (AIBH, CJH, TWJ), pp. 285–289.
- SAC-2012-Affeldt #library #low level #on the
- On construction of a library of formally verified low-level arithmetic functions (RA), pp. 1326–1331.
- SAC-2012-MbarekKPA #design #modelling #power management #using
- Using model driven engineering to reliably accelerate early Low Power Intent Exploration for a system-on-chip design (OM, AK, AP, MA), pp. 1580–1587.
- ASPLOS-2012-LinWLZ #named #power management #smarttech #using
- Reflex: using low-power processors in smartphones without knowing them (FXL, ZW, RL, LZ), pp. 13–24.
- ASPLOS-2012-SimhaLC
- An update-aware storage system for low-locality update-intensive workloads (DNS, ML, TcC), pp. 375–386.
- HPCA-2012-MillerPTST #named #process
- Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips (TNM, XP, RT, NS, RT), pp. 27–38.
- CASE-2011-RatnakarZ #detection #using
- An ultrasound system for tumor detection in soft tissues using low transient pulse (ARR, MZ), pp. 684–689.
- CASE-2011-YonezawaKZIHSIYF #approach #experience #performance
- Long-term operational experience with a robot cell production system controlled by low carbon-footprint Senju (thousand-handed) Kannon Model robots and an approach to improving operating efficiency (HY, HK, MZ, KI, NH, YS, MY, TF), pp. 291–298.
- DAC-2011-ChoiYLA #behaviour #fault #performance
- Matching cache access behavior and bit error pattern for high performance low Vcc L1 cache (YGC, SY, SL, JHA), pp. 978–983.
- DAC-2011-HenrySN #embedded #power management
- A case for NEMS-based functional-unit power gating of low-power embedded microprocessors (MBH, MS, LN), pp. 872–877.
- DAC-2011-IqbalSH #dependence #fault #monte carlo #named #power management #probability #scheduling
- SEAL: soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies (NI, MAS, JH), pp. 134–139.
- DAC-2011-JavaidSPH #adaptation #case study #multi #pipes and filters #power management #video
- Low-power adaptive pipelined MPSoCs for multimedia: an H.264 video encoder case study (HJ, MS, SP, JH), pp. 1032–1037.
- DAC-2011-LiLWCDCHCLHHMBWTWKHC #interface #low cost #testing
- A low-cost wireless interface with no external antenna and crystal oscillator for cm-range contactless testing (CFL, CYL, CHW, SLC, LMD, CCC, HJH, MYC, JJL, SYH, PCH, HPM, JCB, CWW, CCT, CHW, YSK, CTH, TYC), pp. 771–776.
- DAC-2011-SeokJCBS #design #energy #performance #pipes and filters
- Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design (MS, DJ, CC, DB, DS), pp. 990–995.
- DAC-2011-ShoaibJV #algorithm #data-driven #energy #framework #monitoring
- A low-energy computation platform for data-driven biomedical monitoring algorithms (MS, NKJ, NV), pp. 591–596.
- DAC-2011-WhatmoughDBD #power management
- Error-resilient low-power DSP via path-delay shaping (PNW, SD, DMB, ID), pp. 1008–1013.
- DATE-2011-BalasubramanianSMNDKMPPVT #low cost #power management #robust
- Circuit and DFT techniques for robust and low cost qualification of a mixed-signal SoC with integrated power management system (LB, PS, RKM, PN, RKD, ADK, SM, SP, HP, RCV, ST), pp. 551–554.
- DATE-2011-BathenD #distributed #embedded #named #power management #reliability
- E-RoC: Embedded RAIDs-on-Chip for low power distributed dynamically managed reliable memories (LADB, NDD), pp. 1141–1146.
- DATE-2011-BernardC #power management
- A low-power VLIW processor for 3GPP-LTE complex numbers processing (CB, FC), pp. 234–239.
- DATE-2011-BilgicPGB #industrial #power management
- Low-power smart industrial control (AB, VP, MG, FB), pp. 595–599.
- DATE-2011-CarliBBR #effectiveness #energy #multi #power management
- An effective multi-source energy harvester for low power applications (DC, DB, LB, MR), pp. 836–841.
- DATE-2011-HeGO #design #energy
- Controlled timing-error acceptance for low energy IDCT design (KH, AG, MO), pp. 758–763.
- DATE-2011-HuangWSLXL #embedded #low cost
- A specialized low-cost vectorized loop buffer for embedded processors (LH, ZW, LS, HL, NX, CL), pp. 1200–1203.
- DATE-2011-KapoorJ #design #embedded #power management #tutorial #verification
- Embedded tutorial: Addressing critical power management verification issues in low power designs (BK, KMJ), p. 124.
- DATE-2011-KaraklajicFSV #detection #fault #low cost #using
- Low-cost fault detection method for ECC using Montgomery powering ladder (DK, JF, JMS, IV), pp. 1016–1021.
- DATE-2011-LopezMBPGE #design #interface #process #programmable
- Systematic design of a programmable low-noise CMOS neural interface for cell activity recording (CML, SM, CB, RP, GGEG, WE), pp. 818–823.
- DATE-2011-MaW #detection #fault #low cost #named
- LOEDAR: A low cost error detection and recovery scheme for ECC (KM, KW), pp. 1010–1015.
- DATE-2011-PalframanKL #detection #fault #low cost
- Time redundant parity for low-cost transient error detection (DJP, NSK, MHL), pp. 52–57.
- DATE-2011-PangrleBCDJ #design #power management #verification
- Beyond UPF & CPF: Low-power design and verification (BMP, JB, CC, OD, KMJ), p. 252.
- DATE-2011-ParkYL #novel #power management
- A novel tag access scheme for low power L2 cache (HP, SY, SL), pp. 655–660.
- DATE-2011-ReddyCBJ #complexity #power management
- A low complexity stopping criterion for reducing power consumption in turbo decoders (PR, FC, AB, MJ), pp. 649–654.
- DATE-2011-SterponeCMWF #configuration management #power management
- A new reconfigurable clock-gating technique for low power SRAM-based FPGAs (LS, LC, DM, SW, FF), pp. 752–757.
- DATE-2011-WeddellMA #power management
- Ultra low-power photovoltaic MPPT technique for indoor and outdoor wireless sensor nodes (ASW, GVM, BMAH), pp. 905–908.
- DATE-2011-WohSDKSBM #power management
- Low power interconnects for SIMD computers (MW, SS, RGD, DK, DS, DB, TNM), pp. 600–605.
- ICDAR-2011-KatoDTIM #recognition #using
- Low Resolution QR-Code Recognition by Applying Super-Resolution Using the Property of QR-Codes (YK, DD, TT, II, HM), pp. 992–996.
- ICDAR-2011-ZhangS #rank
- Circle Text Expansion as Low-Rank Textures (XZ, FS), pp. 202–206.
- ITiCSE-2011-CarboneWC #student
- A scheme for improving ICT units with critically low student satisfaction (AC, JW, JC), pp. 253–257.
- PLDI-2011-Chlipala #logic #low level #source code #verification
- Mostly-automated verification of low-level programs in computational separation logic (AC), pp. 234–245.
- PLDI-2011-SampsonDFGCG #approximate #data type #named #power management
- EnerJ: approximate data types for safe and general low-power computation (AS, WD, EF, DG, LC, DG), pp. 164–174.
- STOC-2011-FawziHS #nondeterminism #performance
- From low-distortion norm embeddings to explicit uncertainty relations and efficient information locking (OF, PH, PS), pp. 773–782.
- ICALP-v1-2011-BermanBGRWY #transitive
- Steiner Transitive-Closure Spanners of Low-Dimensional Posets (PB, AB, EG, SR, DPW, GY), pp. 760–772.
- ICFP-2011-GillF #fault #implementation #performance
- Deriving an efficient FPGA implementation of a low density parity check forward error corrector (AG, AF), pp. 209–220.
- CHI-2011-CervantesWNS #low cost
- Infrastructures for low-cost laptop use in Mexican schools (RC, MW, BAN, NS), pp. 945–954.
- CHI-2011-ParuthiT #internet #low cost
- Utilizing DVD players as low-cost offline internet browsers (GP, WT), pp. 955–958.
- DHM-2011-SchieferKOHE #3d #metric
- 3D Human Motion Capturing Based Only on Acceleration and Angular Rate Measurement for Low Extremities (CS, TK, EO, IH, RPE), pp. 195–203.
- HCD-2011-YamadaNM #interactive #web
- Extraction of User Interaction Patterns for Low-Usability Web Pages (TY, NN, TM), pp. 144–152.
- HCI-DDA-2011-GoncalvesS #agile #development #interface #named #prototype
- POLVO — Software for Prototyping of Low-Fidelity Interfaces in Agile Development (JG, CS), pp. 63–71.
- HCI-ITE-2011-BoulabiarBPC #interactive #low cost
- A Low-Cost Natural User Interaction Based on a Camera Hand-Gestures Recognizer (MIB, TB, FP, GC), pp. 214–221.
- HCI-ITE-2011-PatkiGNP #power management
- Low Power Wireless EEG Headset for BCI Applications (SP, BG, TN, JP), pp. 481–490.
- HCI-ITE-2011-TangTLCLL #gesture #low cost
- Virtual Mouse: A Low Cost Proximity-Based Gestural Pointing Device (SKT, WCT, WWL, KCC, STL, YPL), pp. 491–499.
- HCI-MIIE-2011-KimHJHMJ #analysis #behaviour #using #video
- Analysis of Low-Floor Bus Passengers’ Behavior Patterns Using Video Observation (JYK, HH, BSJ, BHH, YJM, YGJ), pp. 391–400.
- OCSC-2011-GamberiniMSBF #feedback #network #process #quote #social
- “Your Team Cohesion is Low”: A Systematic Study of the Effects of Social Network Feedback on Mediated Activity (LG, FM, AS, RB, MF), pp. 172–181.
- ICEIS-v2-2011-WuRWS #network #optimisation #research
- The Research of Paper Reverse Logistic Network Optimization on the Low-carbon Economy (RW, YR, SW, CS), pp. 336–340.
- ICEIS-v3-2011-LiXH #on the
- On Low-carbon Supply Chain Management (JL, FX, GH), pp. 497–501.
- ICEIS-v4-2011-LiL #network #optimisation
- The Optimization of Road Network in Logistics Hub based on Low-carbon Aspect (YL, ML), pp. 666–670.
- CIKM-2011-WangA #data flow #effectiveness #query #web
- Effective stratification for low selectivity queries on deep web data sources (FW, GA), pp. 1455–1464.
- ICML-2011-MachartPARG #kernel #learning #probability #rank
- Stochastic Low-Rank Kernel Learning for Regression (PM, TP, SA, LR, HG), pp. 969–976.
- ICML-2011-Shalev-ShwartzGS #constraints #rank #scalability
- Large-Scale Convex Minimization with a Low-Rank Constraint (SSS, AG, OS), pp. 329–336.
- KDD-2011-ChenDWSNL #analysis #process
- Activity analysis based on low sample rate smart meters (FC, JD, BW, SS, MRN, CTL), pp. 240–248.
- KDD-2011-ChenZY #learning #multi #rank #robust
- Integrating low-rank and group-sparse structures for robust multi-task learning (JC, JZ, JY), pp. 42–50.
- KDIR-2011-RoleN #case study #metric #similarity #word
- Handling the Impact of Low Frequency Events on Co-occurrence based Measures of Word Similarity — A Case Study of Pointwise Mutual Information (FR, MN), pp. 226–231.
- SIGIR-2011-GanjisaffarCL #modelling #precise #ranking
- Bagging gradient-boosted trees for high precision, low variance ranking models (YG, RC, CVL), pp. 85–94.
- PLATEAU-2011-YoonM #editing #low level
- Capturing and analyzing low-level events from the code editor (YY, BAM), pp. 25–30.
- POPL-2011-RamseyD #composition #dependent type #independence #low level #type system #using
- Resourceable, retargetable, modular instruction selection using a machine-independent, type-based tiling of low-level intermediate code (NR, JD), pp. 575–586.
- ASPLOS-2011-DengMRWB #in memory #memory management #named #power management
- MemScale: active low-power modes for main memory (QD, DM, LER, TFW, RB), pp. 225–238.
- HPCA-2011-FallinCM #named
- CHIPPER: A low-complexity bufferless deflection router (CF, CC, OM), pp. 144–155.
- HPCA-2011-GhasemiDK #architecture #using
- Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors (HRG, SCD, NSK), pp. 38–49.
- LCTES-2011-ChangH #algorithm #low cost
- A low-cost wear-leveling algorithm for block-mapping solid-state disks (LPC, LCH), pp. 31–40.
- PPoPP-2011-ZhengRQA #detection #gpu #named #source code
- GRace: a low-overhead mechanism for detecting data races in GPU programs (MZ, VTR, FQ, GA), pp. 135–146.
- CAV-2011-RamosE #equivalence #verification
- Practical, Low-Effort Equivalence Verification of Real Code (DAR, DRE), pp. 669–685.
- CASE-2010-LeungLECS #detection
- Detection and tracking of low contrast human sperm tail (CL, ZL, NE, RFC, YS), pp. 263–268.
- DAC-2010-CabeQS #power management
- Stacking SRAM banks for ultra low power standby mode operation (ACC, ZQ, MRS), pp. 699–704.
- DAC-2010-FujitaYLCAW #power management
- Detachable nano-carbon chip with ultra low power (SF, SY, DL, XC, DA, HSPW), pp. 631–632.
- DAC-2010-HePKYALC #energy #named #throughput
- Xetal-Pro: an ultra-low energy and high throughput SIMD processor (YH, YP, RPK, ZY, AAA, SML, HC), pp. 543–548.
- DAC-2010-NsBNPSGB #design #future of #power management #question #what
- What’s cool for the future of ultra low power designs? (NN, JB, KN, VP, TS, AG, SB), pp. 523–524.
- DAC-2010-PashaDS #architecture #generative #power management
- A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking (MAP, SD, OS), pp. 693–698.
- DAC-2010-YangLW #complexity #fault #named
- ECR: a low complexity generalized error cancellation rewiring scheme (XY, TKL, YLW), pp. 511–516.
- DAC-2010-YinL #low cost #monitoring
- Exploiting reconfigurability for low-cost in-situ test and monitoring of digital PLLs (LY, PL), pp. 929–934.
- DATE-2010-AhlendorfG #challenge #design #hardware #monitoring #power management
- Hardware / software design challenges of low-power sensor nodes for condition monitoring (HA, LG), p. 659.
- DATE-2010-AlordaTBS #power management
- Static and dynamic stability improvement strategies for 6T CMOS low-power SRAMs (BA, GT, SAB, JS), pp. 429–434.
- DATE-2010-Aue #internet #mobile #power management #using
- Low power mobile internet devices using LTE technology (VA), p. 794.
- DATE-2010-BalatsoukaTKC #fault #power management #testing
- Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
- DATE-2010-BashirM #process #reliability #towards
- Towards a chip level reliability simulator for copper/low-k backend processes (MB, LSM), pp. 279–282.
- DATE-2010-ChandraPA #on the
- On the efficacy of write-assist techniques in low voltage nanoscale SRAMs (VC, CP, RCA), pp. 345–350.
- DATE-2010-CupaiuoloST #architecture #detection #ml #throughput
- Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector (TC, MS, AT), pp. 1396–1401.
- DATE-2010-HallerB #low cost #performance
- High-speed clock recovery for low-cost FPGAs (IH, ZFB), pp. 610–613.
- DATE-2010-LiuTL #automation #design #optimisation #power management
- Combining optimizations in automated low power design (QL, TT, WL), pp. 1791–1796.
- DATE-2010-LuPRR #energy #performance #transducer
- Efficient power conversion for ultra low voltage micro scale energy transducers (CL, SPP, VR, KR), pp. 1602–1607.
- DATE-2010-MishraJ #optimisation #power management #synthesis #using
- Low-power FinFET circuit synthesis using surface orientation optimization (PM, NKJ), pp. 311–314.
- DATE-2010-Moezzi-MadaniTD #detection #flexibility #standard
- A low-area flexible MIMO detector for WiFi/WiMAX standards (NMM, TT, WRD), pp. 1633–1636.
- DATE-2010-PakerEB #algorithm #architecture #low cost #multi #standard
- A low cost multi-standard near-optimal soft-output sphere decoder: Algorithm and architecture (ÖP, SE, AB), pp. 1402–1407.
- DATE-2010-PasettiFS #power management
- A High-Voltage Low-Power DC-DC buck regulator for automotive applications (GP, LF, RS), pp. 937–940.
- DATE-2010-RaabBHLSESE #design #power management
- Low power design of the X-GOLD® SDR 20 baseband processor (WR, JB, JAUH, DL, MS, HE, JUS, GE), pp. 792–793.
- DATE-2010-RitheGWDGBC #analysis #logic #statistics
- Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage (RR, JG, AW, SD, GG, DB, AC), pp. 965–968.
- DATE-2010-ShafikAC #design #embedded #optimisation #power management
- Soft error-aware design optimization of low power and time-constrained embedded systems (RAS, BMAH, KC), pp. 1462–1467.
- DATE-2010-TajalliL #design #framework #power management #using
- Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits (AT, YL), pp. 711–716.
- DATE-2010-ThonnartVC #framework #integration #power management
- A fully-asynchronous low-power framework for GALS NoC integration (YT, PV, FC), pp. 33–38.
- DATE-2010-VenutoSCP #power management
- Ultra low-power 12-bit SAR ADC for RFID applications (DDV, ES, DTC, YP), pp. 1071–1075.
- DATE-2010-VillenaS #named #order #reduction
- HORUS — high-dimensional Model Order Reduction via low moment-matching upgraded sampling (JFV, LMS), pp. 465–470.
- SIGMOD-2010-JonesAM #concurrent #database #in memory #memory management
- Low overhead concurrency control for partitioned main memory databases (EPCJ, DJA, SM), pp. 603–614.
- ICPC-2010-Berman #architecture #comprehension #low level
- Sound as an Aid in Understanding Low-Level Program Architecture (LB), pp. 58–59.
- ICPC-2010-BeyerF #dependence #detection #low level #named
- DepDigger: A Tool for Detecting Complex Low-Level Dependencies (DB, AF), pp. 40–41.
- ICPC-2010-BeyerF10b #dependence #effectiveness #low level
- A Simple and Effective Measure for Complex Low-Level Dependencies (DB, AF), pp. 80–83.
- PLDI-2010-XuMARSS #data type
- Finding low-utility data structures (G(X, NM, MA, AR, ES, GS), pp. 174–186.
- ILC-2010-YuasaY #low level #using #validation
- Validating low-level instructions for fixnums using BDDs (SY, MY), pp. 11–20.
- CHI-2010-HarrisonH #interactive #low cost #mobile #multi #named
- Minput: enabling interaction on small mobile devices with high-precision, low-cost, multipoint optical tracking (CH, SEH), pp. 1661–1664.
- CHI-2010-KuznetsovP #low cost #named #persuasion
- UpStream: motivating water conservation with low-cost water flow sensing and persuasive displays (SK, EP), pp. 1851–1860.
- CHI-2010-SambasivanCT #community #low cost #named
- ViralVCD: tracing information-diffusion paths with low cost media in developing communities (NS, EC, KT), pp. 2607–2610.
- ICML-2010-LiuLY #rank #representation #robust #segmentation
- Robust Subspace Segmentation by Low-Rank Representation (GL, ZL, YY), pp. 663–670.
- ICML-2010-NegahbanW #estimation #matrix #rank #scalability
- Estimation of (near) low-rank matrices with noise and high-dimensional scaling (SN, MJW), pp. 823–830.
- ICML-2010-TomiokaSSK #algorithm #learning #matrix #performance #rank
- A Fast Augmented Lagrangian Algorithm for Learning Low-Rank Matrices (RT, TS, MS, HK), pp. 1087–1094.
- ICPR-2010-AkbasA #classification #image #low level #segmentation
- Low-Level Image Segmentation Based Scene Classification (EA, NA), pp. 3623–3626.
- ICPR-2010-ChaoTLC #detection #fault
- A Generalized Anisotropic Diffusion for Defect Detection in Low-Contrast Surfaces (SMC, DMT, WCL, WYC), pp. 4408–4411.
- ICPR-2010-CuntoorBPH
- Track Initialization in Low Frame Rate and Low Resolution Videos (NPC, AB, AGAP, AH), pp. 3640–3644.
- ICPR-2010-GiotHR #2d #low cost #multimodal #recognition
- Low Cost and Usable Multimodal Biometric System Based on Keystroke Dynamics and 2D Face Recognition (RG, BH, CR), pp. 1128–1131.
- ICPR-2010-HanCR #categorisation #image #low level
- Image Categorization by Learned Nonlinear Subspace of Combined Visual-Words and Low-Level Features (XHH, YWC, XR), pp. 3037–3040.
- ICPR-2010-MielochMM #image #quality #re-engineering #segmentation
- Improved Fingerprint Image Segmentation and Reconstruction of Low Quality Areas (KM, AM, PM), pp. 1241–1244.
- ICPR-2010-MoriMY #recognition #using
- Gait Recognition Using Period-Based Phase Synchronization for Low Frame-Rate Videos (AM, YM, YY), pp. 2194–2197.
- ICPR-2010-WangY #detection
- Detection Based Low Frame Rate Human Tracking (LW, NHCY), pp. 3529–3532.
- ICPR-2010-ZouY #image #kernel #learning
- Learning the Relationship Between High and Low Resolution Images in Kernel Space for Face Super Resolution (WWWZ, PCY), pp. 1152–1155.
- KDD-2010-ChenLY #learning #multi #rank
- Learning incoherent sparse and low-rank patterns from multiple tasks (JC, JL, JY), pp. 1179–1188.
- KDD-2010-SomaiyaJR #learning #modelling
- Mixture models for learning low-dimensional roles in high-dimensional data (MS, CMJ, SR), pp. 909–918.
- SIGIR-2010-CarteretteKY #evaluation #information retrieval #low cost
- Low cost evaluation in information retrieval (BC, EK, EY), p. 903.
- SIGIR-2010-LiLX10a #identification #music #robust
- Robust music identification based on low-order zernike moment in the compressed domain (WL, YL, XX), pp. 739–740.
- SIGIR-2010-YangMSM #low cost #quality
- Collecting high quality overlapping labels at low cost (HY, AM, KMS, SM), pp. 459–466.
- POPL-2010-RondonKJ #low level
- Low-level liquid types (PMR, MK, RJ), pp. 131–144.
- SAC-2010-ConceicaoPC #clustering #novel
- A novel stable and low-maintenance clustering scheme (LC, DP, MC), pp. 699–705.
- SAC-2010-OssaPSG #algorithm #graph #low cost #predict #web
- Referrer graph: a low-cost web prediction algorithm (BdlO, AP, JS, JAG), pp. 831–838.
- HPCA-2010-AbellaCVCG
- High-Performance low-vcc in-order core (JA, PC, XV, JC, AG), pp. 1–11.
- HPCA-2010-GreskampKT #configuration management #multi #named #performance #thread
- LeadOut: Composing low-overhead frequency-enhancing techniques for single-thread performance in configurable multicores (BG, URK, JT), pp. 1–12.
- HPCA-2010-MerinoPG #adaptation #architecture #low cost #named
- ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture (JM, VP, JÁG), pp. 1–10.
- HPDC-2010-MontanerSD #low cost #memory management
- A practical way to extend shared memory support beyond a motherboard at low cost (HM, FS, JD), pp. 155–166.
- CAV-2010-ConwayB #data type #implementation #low level #verification
- Verifying Low-Level Implementations of High-Level Datatypes (CLC, CB), pp. 306–320.
- VMCAI-2010-KreikerSV #analysis #c #low level
- Shape Analysis of Low-Level C with Overlapping Structures (JK, HS, VV), pp. 214–230.
- DAC-2009-DingZHCP #framework #integration #named #power management
- O-Router: an optical routing framework for low power on-chip silicon nano-photonic integration (DD, YZ, HH, RTC, DZP), pp. 264–269.
- DAC-2009-WangCSC #graph #power management #synthesis #using
- Low power gated bus synthesis using shortest-path Steiner graph for system-on-chip communications (RW, NCC, BS, CKC), pp. 166–171.
- DATE-2009-BachmannGHBS #power management
- A low-power ASIP for IEEE 802.15.4a ultra-wideband impulse radio baseband processing (CB, AG, JH, MB, CS), pp. 1614–1619.
- DATE-2009-DemangelFDCW #architecture
- A generic architecture of CCSDS Low Density Parity Check decoder for near-earth applications (FD, NF, ND, FC, CW), pp. 1242–1245.
- DATE-2009-GhoseGDAW #architecture #detection #memory management
- Architectural support for low overhead detection of memory violations (SG, LG, PD, AA, CW), pp. 652–657.
- DATE-2009-GuXZ #multi #power management
- A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip (HG, JX, WZ), pp. 3–8.
- DATE-2009-LoiAB #configuration management #interface #network #synthesis
- Synthesis of low-overhead configurable source routing tables for network interfaces (IL, FA, LB), pp. 262–267.
- DATE-2009-PaciBB #adaptation #bias #communication #effectiveness #variability
- Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels (GP, DB, LB), pp. 1404–1409.
- DATE-2009-ReordaVMR #embedded #low cost
- A low-cost SEE mitigation solution for soft-processors embedded in Systems on Pogrammable Chips (MSR, MV, CM, RR), pp. 352–357.
- DATE-2009-SinghPHMM #embedded #power management
- Single ended 6T SRAM with isolated read-port for low-power embedded systems (JS, DKP, SH, SPM, JM), pp. 917–922.
- DATE-2009-VignonCDMF #3d #architecture #novel
- A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context (AV, SC, WD, PM, MF), pp. 929–933.
- ICDAR-2009-AbdulkaderC #fault #learning #low cost #multi #using
- Low Cost Correction of OCR Errors Using Learning in a Multi-Engine Environment (AA, MRC), pp. 576–580.
- ICDAR-2009-OhkuraDTIM #recognition
- Low-Resolution Character Recognition by Video-Based Super-Resolution (AO, DD, TT, II, HM), pp. 191–195.
- PLDI-2009-MehraraHHM #hardware #low cost #memory management #transaction #using
- Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory (MM, JH, PCH, SAM), pp. 166–176.
- STOC-2009-NguyenDT #algorithm #approximate #matrix #performance #rank
- A fast and efficient algorithm for low-rank approximation of a matrix (NHN, TTD, TDT), pp. 215–224.
- ICALP-v1-2009-AroraSW #case study #graph #towards
- Towards a Study of Low-Complexity Graphs (SA, DS, AW), pp. 119–131.
- ICALP-v2-2009-ClementiPS #named
- MANETS: High Mobility Can Make Up for Low Transmission Power (AEFC, FP, RS), pp. 387–398.
- CHI-2009-BergmanTBCW #using
- It’s not that important: demoting personal information of low subjective importance using GrayArea (OB, ST, RBM, EC, SW), pp. 269–278.
- CHI-2009-BickmorePJ #health
- Taking the time to care: empowering low health literacy hospital patients with virtual nurse agents (TWB, LMP, BWJ), pp. 1265–1274.
- CHI-2009-ZhengCLR #agile #correlation #image #low level #statistics #web
- Correlating low-level image statistics with users — rapid aesthetic and affective judgments of web pages (XSZ, IC, JJWL, RR), pp. 1–10.
- HCI-NIMT-2009-BittnerS #low cost #named
- VersaPatch: A Low Cost 2.5D Capacitive Touch Sensor (RB, MS), pp. 407–416.
- HCI-NIMT-2009-WangCKZCXS #flexibility #low cost #user interface
- Low Cost Flexible Wrist Touch UI Solution (BW, CC, EK, TZ, HC, LX, AOS), pp. 533–541.
- IDGD-2009-MedhiRT
- Mobile-Banking Adoption and Usage by Low-Literate, Low-Income Users in the Developing World (IM, AR, KT), pp. 485–494.
- ICEIS-SAIC-2009-SammourDVW #email
- Identiying Homogenous Customer Segments for Low Risk Email Marketing Experiments (GNS, BD, KV, GW), pp. 89–94.
- CIKM-2009-MargaritisA #low cost #online
- Low-cost management of inverted files for online full-text search (GM, SVA), pp. 455–464.
- ECIR-2009-HalveyPHVHGJ #case study #difference #low level #metric #retrieval #using #video
- Diversity, Assortment, Dissimilarity, Variety: A Study of Diversity Measures Using Low Level Features for Video Retrieval (MH, PP, DH, RV, FH, AG, JMJ), pp. 126–137.
- POPL-2009-ConditHLQ #low level #type checking
- Unifying type checking and property checking for low-level code (JC, BH, SKL, SQ), pp. 302–314.
- HPCA-2009-MatsutaniKAY #architecture #latency #predict
- Prediction router: Yet another low latency on-chip router architecture (HM, MK, HA, TY), pp. 367–378.
- HPCA-2009-XuDZZZY #3d #design #network
- A low-radix and low-diameter 3D interconnection network design (YX, YD, BZ, XZ, YZ, JY), pp. 30–42.
- TLCA-2009-Urzyczyn #rank
- Inhabitation of Low-Rank Intersection Types (PU), pp. 356–370.
- VMCAI-2009-RakamaricH #low level #memory management #scalability
- A Scalable Memory Model for Low-Level Code (ZR, AJH), pp. 290–304.
- ASE-2008-Yom-TovTUH #automation #concurrent #debugging #random #source code
- Automatic Debugging of Concurrent Programs through Active Sampling of Low Dimensional Random Projections (EYT, RT, SU, SH), pp. 307–316.
- CASE-2008-LiMAM #multi #network #scheduling
- Low-complexity Channel-Aware Scheduling for multichannel wireless local area networks (YL, SM, PA, SFM), pp. 133–138.
- DAC-2008-ChoLKC #design #power management
- The design of a low power carbon nanotube chemical sensor system (TSC, KJL, JK, APC), pp. 84–89.
- DAC-2008-KulkarniKPR #array #process
- Process variation tolerant SRAM array for ultra low voltage applications (JPK, KK, SPP, KR), pp. 108–113.
- DAC-2008-LeeJCHKKK #power management
- Applying passive RFID system to wireless headphones for extreme low power consumption (JGL, DJ, JC, SH, JKK, JK, SWK), pp. 486–491.
- DAC-2008-LiBNPC #approach #how #implementation #power management #set
- How to let instruction set processor beat ASIC for low power wireless baseband implementation: a system level approach (ML, BB, DN, LVdP, FC), pp. 345–346.
- DAC-2008-SenNSC #adaptation #named #power management #process
- Pro-VIZOR: process tunable virtually zero margin low power adaptive RF for wireless systems (SS, VN, RS, AC), pp. 492–497.
- DAC-2008-ZhangYZDKDKC #optimisation #power management #using
- Low power passive equalizer optimization using tritonic step response (LZ, WY, HZ, AD, GAK, DMD, ESK, CKC), pp. 570–573.
- DATE-2008-AronsEOSS #low level #performance #simulation
- Efficient Symbolic Simulation of Low Level Software (TA, EE, SO, JS, ES), pp. 825–830.
- DATE-2008-BingesserLHHMDV #metric
- Low-Noise Sigma-Delta Capacitance-to-Digital Converter for Sub-pF Capacitive Sensors with Integrated Dielectric Loss Measurement (MB, TL, WH, JH, SM, RD, MV), pp. 868–872.
- DATE-2008-ChandraNK #architecture #power management #reduction #testing
- Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction (AC, FN, RK), pp. 462–467.
- DATE-2008-ChoudhuryM #approximate #concurrent #detection #fault #logic
- Approximate logic circuits for low overhead, non-intrusive concurrent error detection (MRC, KM), pp. 903–908.
- DATE-2008-DAscoliBMFRPVFM #programmable
- A Programmable and Low-EMI Integrated Half-Bridge Driver in BCD Technology (FD, LB, MM, LF, GR, EP, FV, MF, MDM), pp. 879–884.
- DATE-2008-EberleG #architecture #automation #communication #design #network #power management #scalability
- A scalable low-power digital communication network architecture and an automated design path for controlling the analog/RF part of SDR transceivers (WE, MG), pp. 710–715.
- DATE-2008-FrenkilCU #analysis #design #physics #power management
- Power Gating for Ultra-low Leakage: Physics, Design, and Analysis (JF, KC, KU).
- DATE-2008-GhoshNR #adaptation #fault tolerance #novel #using
- A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking (SG, PN, KR), pp. 366–371.
- DATE-2008-GizopoulosRGNW #power management #testing
- Power-Aware Testing and Test Strategies for Low Power Devices (DG, KR, PG, NN, XW).
- DATE-2008-HosseinabadyKMP #architecture #energy #graph #latency #performance #scalability
- De Bruijn Graph as a Low Latency Scalable Architecture for Energy Efficient Massive NoCs (MH, MRK, JM, DKP), pp. 1370–1373.
- DATE-2008-MoserTBB #complexity #robust
- Robust and Low Complexity Rate Control for Solar Powered Sensors (CM, LT, DB, LB), pp. 230–235.
- DATE-2008-PaulssonHB #integration #metric #power management
- Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity-based Measurement Application on Xilinx FPGAs (KP, MH, JB), pp. 50–55.
- DATE-2008-RavikumarHW #power management
- Test Strategies for Low Power Devices (CPR, MH, XW), pp. 728–733.
- DATE-2008-VemuJAPG #concurrent #detection #fault #logic #low cost
- A low-cost concurrent error detection technique for processor control logic (RV, AJ, JAA, SP, RG), pp. 897–902.
- ESOP-2008-MatthewsA #exclamation #morphism #parametricity #polymorphism #runtime #theorem
- Parametric Polymorphism through Run-Time Sealing or, Theorems for Low, Low Prices! (JM, AA), pp. 16–31.
- SCAM-2008-Gomez-ZamalloaAP #composition #decompiler #low level #partial evaluation
- Modular Decompilation of Low-Level Code by Partial Evaluation (MGZ, EA, GP), pp. 239–248.
- PLDI-2008-FengSDG #hardware #low level #source code #thread
- Certifying low-level programs with hardware interrupts and preemptive threads (XF, ZS, YD, YG), pp. 170–182.
- STOC-2008-DasguptaF #random
- Random projection trees and low dimensional manifolds (SD, YF), pp. 537–546.
- STOC-2008-Lovett #generative #pseudo
- Unconditional pseudorandom generators for low degree polynomials (SL), pp. 557–562.
- CHI-2008-DeRenziLPSMCHSMB #health #named
- E-imci: improving pediatric health care in low-income countries (BD, NL, TSP, CS, WM, MC, YH, DS, MM, GB), pp. 753–762.
- CSCW-2008-GrimesBBG #community #named
- EatWell: sharing nutrition-related memories in a low-income community (AG, MB, JDB, REG), pp. 87–96.
- CIKM-2008-LuLH #on the #random #similarity
- On low dimensional random projections and similarity search (YEL, PL, SH), pp. 749–758.
- ICML-2008-Corrada-EmmanuelS #estimation #fault #geometry #low level #precise
- Autonomous geometric precision error estimation in low-level computer vision tasks (ACE, HJS), pp. 168–175.
- ICML-2008-ZhangTK #analysis #approximate #fault #rank
- Improved Nyström low-rank approximation and error analysis (KZ, IWT, JTK), pp. 1232–1239.
- ICPR-2008-CandamoG #detection #video
- Wire detection in low-altitude, urban, and low-quality video frames (JC, DBG), pp. 1–4.
- ICPR-2008-El-BazGFE #3d #analysis #approach #automation #detection #image #monitoring
- A new approach for automatic analysis of 3D low dose CT images for accurate monitoring the detected lung nodules (AEB, GLG, RF, MAEG), pp. 1–4.
- ICPR-2008-LiCS #kernel #optimisation #predict #video
- An improved mean-shift tracker with kernel prediction and scale optimisation targeting for low-frame-rate video tracking (ZL, JC, NNS), pp. 1–4.
- ICPR-2008-Tanaka #metric #multi
- Multiscan-based map optimizer for RFID map-building with low-accuracy measurements (KT), pp. 1–4.
- KDD-2008-WuLCC #learning #symmetry
- Asymmetric support vector machines: low false-positive learning under the user tolerance (SHW, KPL, CMC, MSC), pp. 749–757.
- POPL-2008-NitaGC #bytecode #formal method #low level
- A theory of platform-dependent low-level software (MN, DG, CC), pp. 209–220.
- SAC-2008-EinseleIH #independence #recognition #word
- A language-independent, open-vocabulary system based on HMMs for recognition of ultra low resolution words (FE, RI, JH), pp. 429–433.
- ASPLOS-2008-McCunePPRS #execution #how #recommendation
- How low can you go?: recommendations for hardware-supported minimal TCB code execution (JMM, BP, AP, MKR, AS), pp. 14–25.
- ISMM-2008-ChinNPQ #bound #low level #memory management #source code
- Analysing memory resource bounds for low-level programs (WNC, HHN, CP, SQ), pp. 151–160.
- DAC-2007-ChengCW #named #power management
- GlitchMap: An FPGA Technology Mapper for Low Power Considering Glitches (LC, DC, MDFW), pp. 318–323.
- DAC-2007-DadgourB #analysis #design #hybrid #power management
- Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications (HFD, KB), pp. 306–311.
- DAC-2007-KangKR #design #power management #using
- Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop (KK, KK, KR), pp. 934–939.
- DAC-2007-LiKBR #flexibility #performance #power management
- High Performance and Low Power Electronics on Flexible Substrate (JL, KK, AB, KR), pp. 274–275.
- DAC-2007-MrugalskiRCT #power management #testing
- New Test Data Decompressor for Low Power Applications (GM, JR, DC, JT), pp. 539–544.
- DAC-2007-ShachamBC #network #power management
- The Case for Low-Power Photonic Networks on Chip (AS, KB, LPC), pp. 132–135.
- DAC-2007-SingheeR #performance #statistics
- Beyond Low-Order Statistical Response Surfaces: Latent Variable Regression for Efficient, Highly Nonlinear Fitting (AS, RAR), pp. 256–261.
- DAC-2007-ZhuGSDK #architecture #power management #towards #using
- Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors (CZ, Z(G, LS, RPD, RGK), pp. 312–317.
- DATE-2007-AnisN #architecture #debugging #interactive #low cost #using
- Interactive presentation: Low cost debug architecture using lossy compression for silicon debug (EA, NN), pp. 225–230.
- DATE-2007-BanerjeeKR #architecture #power management #process
- Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
- DATE-2007-BrackALKWLRRF #complexity #generative #standard
- Low complexity LDPC code decoders for next generation standards (TB, MA, TLE, FK, NW, NEL, FR, MR, LF), pp. 331–336.
- DATE-2007-DAscoliIMMTFGRM #performance #prototype
- Low-g accelerometer fast prototyping for automotive applications (FD, FI, CM, MM, MT, LF, AG, AR, MDM), pp. 486–491.
- DATE-2007-GburzynskiKO #ad hoc #low cost #network #performance #protocol
- A tiny and efficient wireless ad-hoc protocol for low-cost sensor networks (PG, BK, WO), pp. 1557–1562.
- DATE-2007-GeWL #configuration management #embedded #memory management #named #power management
- DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems (ZG, WFW, HBL), pp. 1343–1348.
- DATE-2007-GhoshBR #adaptation #scheduling #synthesis #using
- Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling (SG, SB, KR), pp. 1532–1537.
- DATE-2007-KhanA #architecture #configuration management #implementation #pipes and filters #programmable #realtime
- Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture (ZK, TA), pp. 349–354.
- DATE-2007-Lysecky #embedded #performance #power management
- Low-power warp processor for power efficient high-performance embedded systems (RLL), pp. 141–146.
- DATE-2007-MehraraASCBA #fault #low cost
- Low-cost protection for SER upsets and silicon defects (MM, MA, SS, KC, VB, TMA), pp. 1146–1151.
- DATE-2007-RaghavanLJCVC #embedded #power management #symmetry
- Very wide register: an asymmetric register file organization for low power embedded processors (PR, AL, MJ, FC, DV, HC), pp. 1066–1071.
- DATE-2007-ReshadiG #architecture #embedded #low level #programming
- Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems (MR, DG), pp. 1337–1342.
- DATE-2007-RhodLC #architecture #performance
- A low-SER efficient core processor architecture for future technologies (ELR, CALL, LC), pp. 1448–1453.
- DATE-2007-SchamannHLB #algorithm #architecture #case study #design #power management
- Low power design on algorithmic and architectural level: a case study of an HSDPA baseband digital signal processing system (MS, SH, UL, MB), pp. 1406–1411.
- DATE-2007-Stanley-MarbellM #communication #energy #interface #multi #power management
- An 0.9 × 1.2”, low power, energy-harvesting system with custom multi-channel communication interface (PSM, DM), pp. 15–20.
- DATE-2007-WangWC
- Unknown blocking scheme for low control data volume and high observability (SW, WW, STC), pp. 33–38.
- ICDAR-2007-NwoguSG #documentation #quality
- PDE-Based Enhancement of Low Quality Documents (IN, ZS, VG), pp. 541–545.
- ICDAR-2007-WachenfeldFKJ #segmentation
- Segmentation of Very Low Resolution Screen-Rendered Text (SW, SF, HUK, XJ), pp. 1153–1157.
- VLDB-2007-GhinitaKKM #performance
- Fast Data Anonymization with Low Information Loss (GG, PK, PK, NM), pp. 758–769.
- VLDB-2007-LeeNS #distance #edit distance #string
- Extending Q-Grams to Estimate Selectivity of String Matching with Low Edit Distance (HL, RTN, KS), pp. 195–206.
- VLDB-2007-MorsePJ #performance
- Efficient Skyline Computation over Low-Cardinality Domains (MDM, JMP, HVJ), pp. 267–278.
- ESOP-2007-ConditHAGN #dependent type #low level #programming
- Dependent Types for Low-Level Programming (JC, MH, ZRA, DG, GCN), pp. 520–535.
- TACAS-2007-ChatterjeeLQR #bytecode #low level #reachability
- A Reachability Predicate for Analyzing Low-Level Software (SC, SKL, SQ, ZR), pp. 19–33.
- STOC-2007-Samorodnitsky #scalability #testing
- Low-degree tests at large distances (AS), pp. 506–515.
- STOC-2007-ShaltielU #trade-off
- Low-end uniform hardness vs. randomness tradeoffs for AM (RS, CU), pp. 430–439.
- ICALP-2007-Pettie
- Low Distortion Spanners (SP), pp. 78–89.
- DHM-2007-YaoMW #3d #low cost #using
- Low Cost 3D Shape Acquisition System Using Strip Shifting Pattern (LY, LM, DW), pp. 276–285.
- HCI-IDU-2007-StrybelVDKNCG #predict #using
- Predicting Perceived Situation Awareness of Low Altitude Aircraft in Terminal Airspace Using Probe Questions (TZS, KPLV, JPD, JK, TKN, VC, FPG), pp. 939–948.
- HCI-IPT-2007-SaC #design #evaluation #mobile #prototype #requirements
- Designing for Mobile Devices: Requirements, Low-Fi Prototyping and Evaluation (MdS, LC), pp. 260–269.
- HCI-MIE-2007-KimKK #algorithm #architecture #low cost
- Human-Aided Cleaning Algorithm for Low-Cost Robot Architecture (SK, KK, THK), pp. 366–375.
- HCI-MIE-2007-TakashimaT #behaviour #low level #video
- Sharing Video Browsing Style by Associating Browsing Behavior with Low-Level Features of Videos (AT, YT), pp. 518–526.
- KDD-2007-HeikinheimoSHMM #set
- Finding low-entropy sets and trees from binary data (HH, JKS, EH, HM, TM), pp. 350–359.
- SEKE-2007-ComanS #empirical #low level #process
- An Empirical Exporatory Study on Inferring Developpers’ Activities from Low-Level Data (IDC, AS), pp. 15–18.
- SAC-2007-PereraGB #low level #using #visualisation
- Preattentive processing: using low-level vision psychology to encode information in visualisations (NP, AG, KB), pp. 1090–1091.
- ESEC-FSE-2007-Coman #analysis #automation #developer #low level #using
- An analysis of developers’ tasks using low-level, automatically collected data (IDC), pp. 579–582.
- HPCA-2007-PascualGAD #architecture #fault tolerance #protocol
- A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures (RFP, JMG, MEA, JD), pp. 157–168.
- HPDC-2007-ZhengVT #fault tolerance #replication #scheduling
- Fault-tolerant scheduling for differentiated classes of tasks with low replication cost in computational grids (QZ, BV, CKT), pp. 239–240.
- LCTES-2007-RavindranCM #power management
- Compiler-managed partitioned data caches for low power (RAR, MLC, SAM), pp. 237–247.
- SOSP-2007-HendricksGR #fault tolerance
- Low-overhead byzantine fault-tolerant storage (JH, GRG, MKR), pp. 73–86.
- CAV-2007-GopanR #analysis #library #low level #summary
- Low-Level Library Analysis and Summarization (DG, TWR), pp. 68–81.
- CAV-2007-GulwaniT #abstract domain #bytecode #low level
- An Abstract Domain for Analyzing Heap-Manipulating Low-Level Software (SG, AT), pp. 379–392.
- CASE-2006-TranNKHF #approach #low level #robust
- Sliding Mode-PID Approach for Robust Low-level Control of a UGV (THT, MTN, NMK, QPH, GF), pp. 672–677.
- DAC-2006-BrahmbhattZWQ #adaptation #algorithm #encoding #hybrid #power management #using
- Low-power bus encoding using an adaptive hybrid algorithm (ARB, JZ, QW, QQ), pp. 987–990.
- DAC-2006-BurginCHMMSKFF #adaptation #algorithm #architecture #implementation #power management #trade-off
- Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm (FB, FC, MH, HM, RMP, RS, HK, NF, WF), pp. 558–561.
- DAC-2006-DadgourJB #architecture #novel #power management
- A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates (HFD, RVJ, KB), pp. 977–982.
- DAC-2006-GhoshMKR #power management #reduction #self
- Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM (SG, SM, KK, KR), pp. 971–976.
- DAC-2006-HuZCGC #communication #latency #power management #synthesis
- Communication latency aware low power NoC synthesis (YH, YZ, HC, RLG, CKC), pp. 574–579.
- DAC-2006-JonesHDTSFCM #automation #configuration management #power management
- An automated, reconfigurable, low-power RFID tag (AKJ, RRH, SRD, SCT, RS, JF, JTC, MHM), pp. 131–136.
- DAC-2006-NieuwoudtRM #named #optimisation #synthesis
- SOC-NLNA: synthesis and optimization for fully integrated narrow-band CMOS low noise amplifiers (AN, TR, YM), pp. 879–884.
- DAC-2006-PengL #constraints #power management
- Low-power repeater insertion with both delay and slew rate constraints (YP, XL), pp. 302–307.
- DAC-2006-ShiH #challenge #design #implementation #power management
- Challenges in sleep transistor design and implementation in low-power designs (KS, DH), pp. 113–116.
- DAC-2006-YangCGJ #algorithm #matrix #parallel #rank #scalability
- A parallel low-rank multilevel matrix compression algorithm for parasitic extraction of electrically large structures (CY, SC, DG, VJ), pp. 1053–1056.
- DAC-2006-ZhouP #agile #embedded #low cost #realtime
- Rapid and low-cost context-switch through embedded processor customization for real-time and control applications (XZ, PP), pp. 352–357.
- DATE-2006-BanerjeeRMB #fine-grained #logic #power management #synthesis #using
- Low power synthesis of dynamic logic circuits using fine-grained clock gating (NB, KR, HMM, SB), pp. 862–867.
- DATE-2006-CarbognaniBFKF #power management
- Two-phase resonant clocking for ultra-low-power hearing aid applications (FC, FB, NF, HK, WF), pp. 73–78.
- DATE-2006-Chang #design #power management
- An ultra low-power TLB design (YJC), pp. 1122–1127.
- DATE-2006-ChenMBR #case study #design #power management
- Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design (QC, SM, AB, KR), pp. 983–988.
- DATE-2006-El-HoiydiACCDEGGLMPPPRRRV #power management
- The ultra low-power wiseNET system (AEH, CA, RC, SC, JDD, CCE, FG, SG, EL, TM, VP, FXP, PDP, NR, AR, DR, PV), pp. 971–976.
- DATE-2006-GarciaMN
- Bootstrapped full--swing CMOS driver for low supply voltage operation (JCG, JAMN, SN), pp. 410–411.
- DATE-2006-MaciiPFADZ #design #matter #power management #question #tool support
- Low-power design tools: are EDA vendors taking this matter seriously? (EM, MP, DF, RCA, AD, RZ), p. 1227.
- DATE-2006-MallikSBZ #design #optimisation #power management
- Smart bit-width allocation for low power optimization in a systemc based ASIC design environment (AM, DS, PB, HZ), pp. 618–623.
- DATE-2006-OmanaCRM #detection #fault #low cost #reliability
- Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects (MO, JMC, DR, CM), pp. 170–175.
- DATE-2006-PaciMPB #design #power management
- Exploring “temperature-aware” design in low-power MPSoCs (GP, PM, FP, LB), pp. 838–843.
- DATE-2006-ParkOPSH #embedded #source code
- Dynamic code overlay of SDF-modeled programs on low-end embedded systems (HwP, KO, SP, MmS, SH), pp. 945–946.
- DATE-2006-PaulinPLBBLLL #distributed #modelling #multi #power management
- Distributed object models for multi-processor SoC’s, with application to low-power multimedia wireless systems (PGP, CP, ML, EB, OB, DL, BL, DL), pp. 482–487.
- DATE-2006-SrinivasanC #architecture #complexity #design #heuristic
- A low complexity heuristic for design of custom network-on-chip architectures (KS, KSC), pp. 130–135.
- DATE-2006-SrinivasanTC #automation #low cost #multi #online
- Online RF checkers for diagnosing multi-gigahertz automatic test boards on low cost ATE platforms (GS, FT, AC), pp. 658–663.
- DATE-2006-ViswanathAJ #automation #pipes and filters #power management
- Automatic insertion of low power annotations in RTL for pipelined microprocessors (VV, JAA, WAHJ), pp. 496–501.
- DATE-DF-2006-DielissenHB #low cost
- Low cost LDPC decoder for DVB-S2 (JD, AH, VB), pp. 130–135.
- DATE-DF-2006-PapaefstathiouP #classification
- A hardware-engine for layer-2 classification in low-storage, ultra-high bandwidth environments (VP, IP), pp. 112–117.
- DATE-DF-2006-SamaPFBR #3d #low cost #named #power management
- 3dID: a low-power, low-cost hand motion capture device (MS, VP, EF, LB, BR), pp. 136–141.
- DocEng-2006-GormishS #documentation #editing #image #standard #using
- Standards based high resolution Document editing using low resolution proxy images (MJG, ELS), p. 33.
- PEPM-2006-RepsBL #low level
- Intermediate-representation recovery from low-level code (TWR, GB, JL), pp. 100–111.
- SAS-2006-ChangHN #analysis #low level #using
- Analysis of Low-Level Code Using Cooperating Decompilers (BYEC, MH, GCN), pp. 318–335.
- SAS-2006-Yang #analysis #low level
- Shape Analysis for Low-Level Code (HY), p. 280.
- STOC-2006-MoshkovitzR #fault
- Sub-constant error low degree test of almost-linear size (DM, RR), pp. 21–30.
- STOC-2006-NesetrilM #algorithm #linear
- Linear time low tree-width partitions and algorithmic consequences (JN, POdM), pp. 391–400.
- DLT-2006-Shur #combinator #complexity
- Factorial Languages of Low Combinatorial Complexity (AMS), pp. 397–407.
- IFL-2006-HammondGMI #low level #programming
- Low-Level Programming in Hume: An Exploration of the HW-Hume Level (KH, GG, GM, AI), pp. 91–107.
- SOFTVIS-2006-LiuV #animation #control flow #debugging #low level
- Animation of control flow for low-level debugging (HL, FSV), pp. 157–158.
- ICML-2006-KulisSD #kernel #learning #matrix #rank
- Learning low-rank kernel matrices (BK, MAS, ISD), pp. 505–512.
- ICPR-v1-2006-ChaoTTJ #detection #fault #using
- Defect detection in low-contrast glass substrates using anisotropic diffusion (SMC, DMT, YHT, YRJ), pp. 654–657.
- ICPR-v1-2006-ChenCW #complexity #image
- LBT Based Low Complexity Image Compression Method (BC, LC, HW), pp. 941–944.
- ICPR-v1-2006-LiuWD #evaluation #image #quality #recognition
- Low Resolution Character Recognition by Image Quality Evaluation (CL, CW, RD), pp. 864–867.
- ICPR-v1-2006-WuCWS #video
- License Plate Extraction in Low Resolution Video (HHPW, HHC, RJW, DFS), pp. 824–827.
- ICPR-v2-2006-TianK #invariant
- A Low-Complexity Deformation Invariant Descriptor (LT, SiK), pp. 227–230.
- ICPR-v2-2006-TsaiTCY #analysis #component #design #detection #fault #image #independence
- Independent component analysis based filter design for defect detection in low-contrast textured images (DMT, YHT, SMC, CHY), pp. 231–234.
- ICPR-v2-2006-YuW06b #mining #nondeterminism
- Mining Uncertain Data in Low-dimensional Subspace (ZY, HSW), pp. 748–751.
- ICPR-v3-2006-El-BazFGFEE #automation #framework #segmentation
- A Framework for Automatic Segmentation of Lung Nodules from Low Dose Chest CT Scans (AEB, AAF, GLG, RF, MAEG, TE), pp. 611–614.
- ICPR-v3-2006-HuW06a #representation
- A Low-dimensional Illumination Space Representation of Human Faces for Arbitrary Lighting Conditions (YH, ZW), pp. 1147–1150.
- ICPR-v3-2006-LeePL #image #kernel #re-engineering
- Face Reconstruction with Low Resolution Facial Images by Feature Vector Projection in Kernel Space (SWL, JP, SWL), pp. 1179–1182.
- ICPR-v4-2006-RohL #detection #gesture #video
- Gesture Detection in Low-Quality Video (MCR, SWL), pp. 791–794.
- KDD-2006-YeW #analysis
- Regularized discriminant analysis for high dimensional, low sample size data (JY, TW), pp. 454–463.
- SIGIR-2006-ZhangOFM #analysis #linear #recommendation
- Analysis of a low-dimensional linear model under recommendation attacks (SZ, YO, JF, FM), pp. 517–524.
- SAC-2006-AtzoriBGP #towards
- Towards low-perturbation anonymity preserving pattern discovery (MA, FB, FG, DP), pp. 588–592.
- ICSE-2006-DhurjatiA #array #bound #c
- Backwards-compatible array bounds checking for C with very low overhead (DD, VSA), pp. 162–171.
- ICSE-2006-NakamichiSSM #behaviour #detection #usability #using #web
- Detecting low usability web pages using quantitative data of users’ behavior (NN, KS, MS, KiM), pp. 569–576.
- ASPLOS-2006-ReddyRP #comprehension #fault tolerance #predict #thread
- Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance (VKR, ER, SP), pp. 83–94.
- ASPLOS-2006-ShyamCPBA #fault #low cost #pipes and filters
- Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
- CC-2006-Necula #dependent type #low level #type system #using
- Using Dependent Types to Port Type Systems to Low-Level Languages (GCN), p. 1.
- DAC-2005-AziziKDN #design #power management #scalability
- Variations-aware low-power design with voltage scaling (NA, MMK, VD, FNN), pp. 529–534.
- DAC-2005-KimPTVD #adaptation #latency
- A low latency router supporting adaptivity for on-chip interconnects (JK, DP, TT, NV, CRD), pp. 559–564.
- DAC-2005-LuoYYB #design #network #power management #using
- Low power network processor design using clock gating (YL, JY, JY, LNB), pp. 712–715.
- DAC-2005-NedevschiPB #hardware #low cost #power management #recognition #speech #user interface
- Hardware speech recognition for user interfaces in low cost, low power devices (SN, RKP, EAB), pp. 684–689.
- DAC-2005-SaneeiAN #encoding #power management #reduction
- Sign bit reduction encoding for low power applications (MS, AAK, ZN), pp. 214–217.
- DAC-2005-WeiR #configuration management #implementation #power management #trade-off
- Implementing low-power configurable processors: practical options and tradeoffs (JW, CR), pp. 706–711.
- DATE-2005-BhuniaMRR #novel #testing
- A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application (SB, HMM, AR, KR), pp. 1136–1141.
- DATE-2005-KeezerGMT #low cost #multi #using
- Low-Cost Multi-Gigahertz Test Systems Using CMOS FPGAs and PECL (DCK, CG, AMM, NT), pp. 152–157.
- DATE-2005-KherijiDCM #approach #optimisation #testing
- Optimising Test Sets for a Low Noise Amplifier with a Defect-Oriented Approach (RK, VD, JLC, SM), pp. 170–171.
- DATE-2005-LeungTH #energy #scheduling
- Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling (LFL, CYT, XSH), pp. 634–639.
- DATE-2005-LiuPP #hybrid #named #performance #power management
- RIP: An Efficient Hybrid Repeater Insertion Scheme for Low Power (XL, YP, MCP), pp. 1330–1335.
- DATE-2005-LopezCLS #estimation #quality
- A High Quality/Low Computational Cost Technique for Block Matching Motion Estimation (SL, GMC, JFL, RS), pp. 2–7.
- DATE-2005-MullerTAL #design #multi #power management #top-down
- Top-Down Design of a Low-Power Multi-Channel 2.5-Gbit/s/Channel Gated Oscillator Clock-Recovery Circuit (PM, AT, SMA, YL), pp. 258–263.
- DATE-2005-NegreirosCS #evaluation #low cost #using
- Noise Figure Evaluation Using Low Cost BIST (MN, LC, AAS), pp. 158–163.
- DATE-2005-NolletAMV #low cost #migration
- Low Cost Task Migration Initiation in a Heterogeneous MP-SoC (VN, PA, JYM, DV), pp. 252–253.
- DATE-2005-VerleMAMA #optimisation #power management #protocol
- Low Power Oriented CMOS Circuit Optimization Protocol (AV, XM, NA, PM, DA), pp. 640–645.
- DATE-2005-YangVTV #automation #debugging #design #fault #modelling #power management
- Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs (YSY, AGV, PJT, SV), pp. 996–1001.
- DocEng-2005-BeheraLI #documentation #identification
- Influence of fusion strategies on feature-based identification of low-resolution documents (AB, DL, RI), pp. 20–22.
- ICDAR-2005-BeheraLI #documentation #geometry #identification #using
- Enhancement of Layout-based Identification of Low-resolution Documents using Geometrical Color Distribution (AB, DL, RI), pp. 468–472.
- ICDAR-2005-GarainPH #documentation #image #on the #quality
- On Foreground-Background Separation in Low Quality Color Document Images (UG, TP, LH), pp. 585–589.
- ICDAR-2005-JacobsSVR #documentation #image #recognition
- Text Recognition of Low-resolution Document Images (CEJ, PYS, PAV, JR), pp. 695–699.
- ICDAR-2005-NomuraYOK #effectiveness #image #recognition
- A Proposal of the Effective Recognition Method for Low-Resolution Characters from Motion Images (MN, KY, HO, KK), pp. 720–724.
- PASTE-2005-KumarCS #monitoring #profiling
- Low overhead program monitoring and profiling (NK, BRC, MLS), pp. 28–34.
- STOC-2005-BadoiuCIS #metric
- Low-distortion embeddings of general metrics into the line (MB, JC, PI, AS), pp. 225–233.
- STOC-2005-Bogdanov #generative #pseudo
- Pseudorandom generators for low degree polynomials (AB), pp. 21–30.
- STOC-2005-OstrovskyR #distance #edit distance
- Low distortion embeddings for edit distance (RO, YR), pp. 218–224.
- ICFP-2005-DiatchkiJL #low level
- High-level views on low-level representations (ISD, MPJ, RL), pp. 168–179.
- ECIR-2005-FergusonGWS #low cost #named
- Físréal: A Low Cost Terabyte Search Engine (PF, CG, PW, AFS), pp. 520–522.
- ICML-2005-BachJ #composition #kernel #predict #rank
- Predictive low-rank decomposition for kernel methods (FRB, MIJ), pp. 33–40.
- MLDM-2005-DongKSP #composition #geometry #low level #representation #word
- Low-Level Cursive Word Representation Based on Geometric Decomposition (JxD, AK, CYS, DP), pp. 590–599.
- SAC-2005-GriffinSC #case study #design #embedded #garbage collection #java #on the #power management
- On designing a low-power garbage collector for java embedded devices: a case study (PAG, WSa, JMC), pp. 868–873.
- CGO-2005-GuoBTORA #analysis #low level #pointer
- Practical and Accurate Low-Level Pointer Analysis (BG, MJB, ST, GO, ER, DIA), pp. 291–302.
- CGO-2005-RavindranNDMSMB #compilation #power management
- Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache (RAR, PDN, GSD, EDM, RMS, SAM, RBB), pp. 179–190.
- HPCA-2005-CorlissLR #debugging #interactive
- Low-Overhead Interactive Debugging via Dynamic Instrumentation with DISE (MLC, ECL, AR), pp. 303–314.
- HPCA-2005-KondoN #clustering #performance #power management
- A Small, Fast and Low-Power Register File by Bit-Partitioning (MK, HN), pp. 40–49.
- HPCA-2005-VenkatesanAR #memory management #power management
- Tapping ZettaRAMTM for Low-Power Memory Systems (RKV, ASAZ, ER), pp. 83–94.
- SAT-2005-Wahlstrom #performance #satisfiability
- Faster Exact Solving of SAT Formulae with a Low Number of Occurrences per Variable (MW), pp. 309–323.
- DAC-2004-BasuLWMB #optimisation #power management
- Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era (AB, SCL, VW, AM, KB), pp. 884–887.
- DAC-2004-DeleganesBGKSW #integer #logic
- Low voltage swing logic circuits for a Pentium 4 processor integer core (DJD, MB, GG, KK, APS, SW), pp. 678–680.
- DAC-2004-GopeCJ #matrix #modelling #multi #performance #rank
- A fast parasitic extractor based on low-rank multilevel matrix compression for conductor and dielectric modeling in microelectronics and MEMS (DG, SC, VJ), pp. 794–799.
- DAC-2004-Kung #design
- Timing closure for low-FO4 microprocessor design (DSK), pp. 265–266.
- DAC-2004-LiuPP #library #power management #question #what
- Practical repeater insertion for low power: what repeater library do we need? (XL, YP, MCP), pp. 30–35.
- DAC-2004-MohiyuddinPAW
- Synthesizing interconnect-efficient low density parity check codes (MM, AP, AA, WW), pp. 488–491.
- DAC-2004-ParkCYC #power management #testing
- A new state assignment technique for testing and low power (SP, SC, SY, MJC), pp. 510–513.
- DAC-2004-VassighiKNSYLCSD #design #optimisation
- Design optimizations for microprocessors at low temperature (AV, AK, SN, GS, YY, SL, GC, MS, VD), pp. 2–5.
- DATE-DF-2004-JuniorC #design #low cost #statistics
- Highly Digital, Low-Cost Design of Statistic Signal Acquisition in SoCs (AAdSJ, LC), pp. 10–15.
- DATE-DF-2004-Saul #power management
- Low Power Analogue 90 Degree Phase Shifter (PHS), pp. 28–33.
- DATE-DF-2004-SchmittR #design #low cost #prototype #using #verification
- Verification of a Microcontroller IP Core for System-on-a-Chip Designs Using Low-Cost Prototyping Environments (SS, WR), pp. 96–101.
- DATE-v1-2004-BonhommeGGLPV #design #power management
- Design of Routing-Constrained Low Power Scan Chains (YB, PG, LG, CL, SP, AV), pp. 62–67.
- DATE-v1-2004-ChenG #adaptation #bias #low cost #performance #power management #reduction
- A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations (TWC, JG), pp. 240–245.
- DATE-v1-2004-IranliCP #approach #energy #game studies #streaming #video
- A Game Theoretic Approach to Low Energy Wireless Video Streaming (AI, KC, MP), pp. 696–697.
- DATE-v1-2004-KuoHW #composition #design #power management
- Decomposition of Instruction Decoder for Low Power Design (WAK, TH, ACHW), pp. 664–665.
- DATE-v1-2004-NegreirosCS #low cost #testing
- Low Cost Analog Testing of RF Signal Paths (MN, LC, AAS), pp. 292–297.
- DATE-v1-2004-NikitovicB #mobile #power management
- A Low Power Strategy for Future Mobile Terminals (MN, MB), pp. 702–703.
- DATE-v1-2004-PiguetGHOS #logic #power management
- Extremely Low-Power Logic (CP, JG, CH, IO, US), pp. 656–663.
- DATE-v1-2004-SrivastavaSB #concurrent #design #power management
- Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design (AS, DS, DB), pp. 718–719.
- DATE-v1-2004-ZhangYV
- Low Static-Power Frequent-Value Data Caches (CZ, JY, FV), pp. 214–219.
- DATE-v2-2004-NaculG #configuration management #power management
- Dynamic Voltage and Cache Reconfiguration for Low Power (ACN, TG), pp. 1376–1379.
- DATE-v2-2004-WangLC #fault #hardware #hybrid #testing
- Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets (SW, XL, STC), pp. 1296–1301.
- DATE-2005-SandnerCSHK04 #power management
- A 6bit, 1.2GSps Low-Power Flash-ADC in 0.13µm Digital CMOS (CS, MC, AS, TH, FK), pp. 223–226.
- DocEng-2004-BeheraLI #documentation #identification #image #visual notation
- Visual signature based identification of Low-resolution document images (AB, DL, RI), pp. 178–187.
- STOC-2004-KenyonRS #set
- Low distortion maps between point sets (CK, YR, AS), pp. 272–280.
- STOC-2004-Talwar #algorithm #metric
- Bypassing the embedding: algorithms for low dimensional metrics (KT), pp. 281–290.
- CHI-2004-SvanaesS #design #game studies #mobile #prototype
- Putting the users center stage: role playing and low-fi prototyping enable end users to design mobile systems (DS, GS), pp. 479–486.
- ICML-2004-RosencrantzGT #learning #predict
- Learning low dimensional predictive representations (MR, GJG, ST).
- ICML-2004-Ye #approximate #matrix #rank
- Generalized low rank approximations of matrices (JY).
- ICPR-v1-2004-ChienL #3d #detection #multi
- Skin Color Detection in Low Bit-Rate 3-D Multiwavelet-Based Videos (JCC, CCL), pp. 720–723.
- ICPR-v1-2004-HallOC #detection #low level
- A Trainable Low-level Feature Detector (PMH, MO, JPC), pp. 708–711.
- ICPR-v1-2004-ParkL #distance #fault #identification #image #using
- Enhancing Low-Resolution Facial Images Using Error Back-Projection for Human Identification at a Distance (JSP, SWL), pp. 346–349.
- ICPR-v2-2004-BoyerJ #detection #order #robust
- Perceptual Organization in Range Data: Robust Detection of Low Order Surfaces in Heavy Clutter (KLB, KJ), pp. 102–105.
- SAC-2004-AgostaPS #architecture #design #embedded #multi #power management #program transformation #source code
- Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems (GA, GP, CS), pp. 891–896.
- ASPLOS-2004-EkanayakeKM #network #power management
- An ultra low-power processor for sensor networks (VNE, CKI, RM), pp. 27–36.
- ASPLOS-2004-HauswirthC #adaptation #detection #memory management #profiling #statistics #using
- Low-overhead memory leak detection using adaptive statistical profiling (MH, TMC), pp. 156–164.
- HPCA-2004-AbellaG #distributed #queue
- Low-Complexity Distributed Issue Queue (JA, AG), pp. 73–83.
- HPCA-2004-FalconRV #multi #thread
- A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors (AF, AR, MV), pp. 244–253.
- ISMM-2004-SagonasW #concurrent #garbage collection #incremental
- Message analysis-guided allocation and low-pause incremental garbage collection in a concurrent language (KFS, JW), pp. 1–12.
- DAC-2003-AmmerSKKR #energy
- A low-energy chip-set for wireless intercom (MJA, MS, TCK, MK, JMR), pp. 916–919.
- DAC-2003-BashirullahLC #adaptation #design #power management
- Low-power design methodology for an on-chip bus with adaptive bandwidth capability (RB, WL, RKCI), pp. 628–633.
- DAC-2003-ManeatisKMMS #generative #multi #self
- Self-biased high-bandwidth low-jitter 1-to-4096 multiplier clock generator PLL (JGM, JK, IM, JM, MS), pp. 688–690.
- DAC-2003-NegreirosCS #low cost
- Ultimate low cost analog BIST (MN, LC, AAS), pp. 570–573.
- DAC-2003-ShiG #hybrid #performance #power management
- Hybrid hierarchical timing closure methodology for a high performance and low power DSP (KS, GG), pp. 850–855.
- DAC-2003-VasilyevRW #algorithm #generative #modelling
- A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and MEMS (DV, MR, JW), pp. 490–495.
- DATE-2003-BeeckGBMCD #data transformation #implementation #power management #realtime
- Background Data Organisation for the Low-Power Implementation in Real-Time of a Digital Audio Broadcast Receiver on a SIMD Processor (POdB, CG, EB, MM, FC, GD), pp. 11144–11145.
- DATE-2003-BrockmeyerMCC #energy #memory management #multi
- Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations (EB, MM, HC, FC), pp. 11070–11075.
- DATE-2003-ChengP #encoding #interface #power management #visual notation
- Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface (WCC, MP), pp. 10694–10699.
- DATE-2003-ChiouBR #multi #power management #synthesis
- Synthesis of Application-Specific Highly-Efficient Multi-Mode Systems for Low-Power Applications (LYC, SB, KR), pp. 10096–10103.
- DATE-2003-ChooMR #architecture #named #power management #synthesis
- MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters (HC, KM, KR), pp. 10700–10705.
- DATE-2003-HagaRBM #functional #power management
- Dynamic Functional Unit Assignment for Low Power (SH, NR, RB, DM), pp. 11052–11057.
- DATE-2003-KranitisXGPZ #low cost #self
- Low-Cost Software-Based Self-Testing of RISC Processor Cores (NK, GX, DG, AMP, YZ), pp. 10714–10719.
- DATE-2003-LeeHH #composition #design #finite #power management #state machine
- Decomposition of Extended Finite State Machine for Low Power Design (ML, TH, SYH), pp. 11152–11153.
- DATE-2003-LvHLW #encoding
- Enhancing Signal Integrity through a Low-Overhead Encoding Scheme on Address Buses (TL, JH, HL, WW), pp. 10542–10549.
- DATE-2003-MamidipakaD #architecture #embedded #memory management #power management #stack
- On-chip Stack Based Memory Organization for Low Power Embedded Architectures (MM, NDD), pp. 11082–11089.
- DATE-2003-PanatoBR #algorithm
- A Low Device Occupation IP to Implement Rijndael Algorithm (AP, MB, RAdLR), pp. 20020–20025.
- DATE-2003-Sanchez-ElezFADBH #architecture #configuration management #data transformation #energy #memory management #multi
- Low Energy Data Management for Different On-Chip Memory Levels in Multi-Context Reconfigurable Architectures (MSE, MF, MLA, HD, NB, RH), pp. 10036–10043.
- DATE-2003-SirisantanaR #logic #power management
- Selectively Clocked CMOS Logic Style for Low-Power Noise-Immune Operations in Scaled Technologies (NS, KR), pp. 11160–11161.
- DATE-2003-SyalH #algorithm #fault #identification #low cost #novel
- A Novel, Low-Cost Algorithm for Sequentially Untestable Fault Identification (MS, MSH), pp. 10316–10321.
- DATE-2003-TanRJ #approach #architecture #embedded #energy
- Software Architectural Transformations: A New Approach to Low Energy Embedded Software (TKT, AR, NKJ), pp. 11046–11051.
- DATE-2003-WuAE #embedded #graph #power management #scheduling #synthesis
- Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems (DW, BMAH, PE), pp. 10090–10095.
- ICDAR-2003-BrittoSSSB #algorithm #clustering #low cost #parallel #using
- A Low-Cost Parallel K-Means VQ Algorithm Using Cluster Computing (AdSBJ, PSLdS, RS, SdRSdS, DLB), pp. 839–843.
- STOC-2003-Ben-SassonSVW #testing
- Randomness-efficient low degree tests and short PCPs via epsilon-biased sets (EBS, MS, SPV, AW), pp. 612–621.
- CHI-2003-Chen
- A low-latency lip-synchronized videoconferencing system (MC), pp. 465–471.
- ICML-2003-SrebroJ #approximate #rank
- Weighted Low-Rank Approximations (NS, TSJ), pp. 720–727.
- ICML-2003-ValentiniD #bias
- Low Bias Bagged Support Vector Machines (GV, TGD), pp. 752–759.
- UML-2003-Nunes #contract #ocl
- An OCL Extension for Low-Coupling Preserving Contracts (IN), pp. 310–324.
- POPL-2003-BaconCR #consistency #garbage collection #realtime
- A real-time garbage collector with low overhead and consistent utilization (DFB, PC, VTR), pp. 285–298.
- LCTES-2003-SchultzBCK #compilation #embedded #java
- Compiling java for low-end embedded systems (UPS, KB, FGC, JLK), pp. 42–50.
- ICLP-2003-Morrisett #low level #type safety
- Achieving Type Safety for Low-Level Code (JGM), pp. 1–2.
- DAC-2002-AbramoviciYR #low cost
- Low-cost sequential ATPG with clock-control DFT (MA, XY, EMR), pp. 243–248.
- DAC-2002-AgarwalLR #named #power management
- DRG-cache: a data retention gated-ground cache for low power (AA, HL, KR), pp. 473–478.
- DAC-2002-JungKK #logic
- Low-swing clock domino logic incorporating dual supply and dual threshold voltages (SOJ, KWK, SMK), pp. 467–472.
- DAC-2002-LiuP #design #power management
- Design of a high-throughput low-power IS95 Viterbi decoder (XL, MCP), pp. 263–268.
- DAC-2002-SteyaertV #named #paradigm #power management #question
- CMOS: a paradigm for low power wireless? (MS, PJV), pp. 836–841.
- DATE-2002-AghaghiriPF #encoding #multi #power management
- EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses (YA, MP, FF), p. 1102.
- DATE-2002-BertozziBM #encoding #fault #power management
- Low Power Error Resilient Encoding for On-Chip Data Buses (DB, LB, GDM), pp. 102–109.
- DATE-2002-BrockELSDBOK #design #power management
- Power Crisis in SoC Design: Strategies for Constructing Low-Power, High-Performance SoC Designs (KB, CE, RL, US, AD, JB, DO, MK), p. 538.
- DATE-2002-MartinezAQSK #encoding #implementation #power management
- An Encoding Technique for Low Power CMOS Implementations of Controllers (MM, MJA, JMQ, HS, MK), p. 1083.
- DATE-2002-PeymandoustSM #algebra #embedded #optimisation #power management #using
- Low Power Embedded Software Optimization Using Symbolic Algebra (AP, TS, GDM), pp. 1052–1058.
- DATE-2002-RahajandraibeDACMC #parametricity
- Test Structure for IC(VBE) Parameter Determination of Low Voltage Applications (WR, CD, DA, BC, BM, VC), pp. 316–321.
- DATE-2002-XuM #matrix
- Formulation of Low-Order Dominant Poles for Y-Matrix of Interconnects (QX, PM), pp. 820–825.
- SIGMOD-2002-MaddenH #network #power management #query
- Distributing queries over low-power wireless sensor networks (SM, JMH), p. 622.
- ICPR-v2-2002-YangP02a #re-engineering
- High-Resolution Reconstruction of Sparse Data from Dense Low-Resolution Spatio-Temporal Data (QY, BP), pp. 261–264.
- ICPR-v3-2002-KongZ #analysis #authentication #image
- Palmprint Texture Analysis Based on Low-Resolution Images for Personal Authentication (AWKK, DZ), pp. 807–810.
- ICPR-v3-2002-WolfD #markov #quality #random #using
- Binarization of Low Quality Text Using a Markov Random Field Model (CW, DSD), pp. 160–163.
- ICPR-v4-2002-ChristoudiasGM #low level
- Synergism in Low Level Vision (CMC, BG, PM), pp. 150–155.
- OOPSLA-2002-SutterBB #c++ #low level #reuse
- Sifting out the mud: low level C++ code reuse (BDS, BDB, KDB), pp. 275–291.
- DAC-2001-ChandraC #power management #testing
- Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip (AC, KC), pp. 166–169.
- DAC-2001-GizdarskiF #complexity #framework #learning
- A Framework for Low Complexity Static Learning (EG, HF), pp. 546–549.
- DAC-2001-HenkelL #adaptation #design #named #power management
- A2BC: Adaptive Address Bus Coding for Low Power Deep Sub-Micron Designs (JH, HL), pp. 744–749.
- DAC-2001-ShinKL #analysis #energy #scheduling #using
- Low-Energy Intra-Task Voltage Scheduling Using Static Timing Analysis (DS, JK, SL), pp. 438–443.
- DAC-2001-ShinS #design #power management
- Coupling-Driven Bus Design for Low-Power Application-Specific Systems (YS, TS), pp. 750–753.
- DATE-2001-AcquavivaBR #adaptation #algorithm #multi #power management #streaming
- An adaptive algorithm for low-power streaming multimedia processing (AA, LB, BR), pp. 273–279.
- DATE-2001-DessoukyKLG #case study #design #reuse
- Analog design for reuse — case study: very low-voltage sigma-delta modulator (MD, AK, MML, AG), pp. 353–360.
- DATE-2001-GarnicaLH #power management #pseudo
- A pseudo delay-insensitive timing model to synthesizing low-power asynchronous circuits (OG, JL, RH), p. 810.
- DATE-2001-GerfersM #design #power management
- A design strategy for low-voltage low-power continuous-time sigma-delta A/D converters (FG, YM), pp. 361–369.
- DATE-2001-GrunDN #embedded #memory management #power management
- Access pattern based local memory customization for low power embedded systems (PG, NDD, AN), pp. 778–784.
- DATE-2001-NeauMR #complexity #using
- Low complexity FIR filters using factorization of perturbed coefficients (CN, KM, KR), pp. 268–272.
- DATE-2001-PiguetRO #power management
- Low-power systems on chips (SOCs) (CP, MR, TJFO), p. 488.
- DATE-2001-WormLW #architecture #design #performance #power management
- Design of low-power high-speed maximum a priori decoder architectures (AW, HL, NW), pp. 258–267.
- ESOP-2001-KatsumataO #decompiler #low level
- Proof-Directed De-compilation of Low-Level Code (SyK, AO), pp. 352–366.
- PLDI-2001-DeLineF #bytecode #low level #protocol
- Enforcing High-Level Protocols in Low-Level Software (RD, MF), pp. 59–69.
- STOC-2001-AchlioptasM #matrix #performance #rank
- Fast computation of low rank matrix (DA, FM), pp. 611–618.
- ICALP-2001-SadakaneTT #algorithm #combinator #sequence
- Combinatorics and Algorithms on Low-Discrepancy Roundings of a Real Sequence (KS, NTC, TT), pp. 166–177.
- SVIS-2001-HundhausenD #algorithm #visualisation
- A Language and System for Constructing and Presenting Low Fidelity Algorithm Visualizations (CDH, SAD), pp. 227–240.
- SAC-2001-ZaninGA #adaptation #low cost #named #network
- ADAPT — a low-cost videoconference model for personal computers running on IP networks (FAZ, LZG, MJBA), pp. 446–447.
- SOSP-2001-HeidemannSIGEG #low level #network #performance
- Building Efficient Wireless Sensor Networks with Low-Level Naming (JSH, FS, CI, RG, DE, DG), pp. 146–159.
- SOSP-2001-MuthitacharoenCM #file system #network
- A Low-Bandwidth Network File System (AM, BC, DM), pp. 174–187.
- SOSP-2001-PillaiS #embedded #operating system #power management #realtime #scalability
- Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems (PP, KGS), pp. 89–102.
- TLCA-2001-Schubert #complexity #order
- The Complexity of β-Reduction in Low Orders (AS), pp. 400–414.
- DAC-2000-ChangKC #encoding #memory management #power management
- Bus encoding for low-power high-performance memory systems (NC, KK, JC), pp. 800–805.
- DAC-2000-LeeS #power management #realtime #runtime
- Run-time voltage hopping for low-power real-time systems (SL, TS), pp. 806–809.
- DAC-2000-LekatsasHW #design #embedded #power management
- Code compression for low power embedded system design (HL, JH, WW), pp. 294–299.
- DAC-2000-UmKL #fine-grained #optimisation #power management #synthesis
- A fine-grained arithmetic optimization technique for high-performance/low-power data path synthesis (JU, TK, CLL), pp. 98–103.
- DAC-2000-ZhouW #composition #power management
- Optimal low power X OR gate decomposition (HZ, DFW), pp. 104–107.
- DATE-2000-GizopoulosKPPZ #effectiveness #power management
- Effective Low Power BIST for Datapaths (DG, NK, MP, AMP, YZ), p. 757.
- TACAS-2000-Schmidt #analysis #low level #reachability #symmetry
- Integrating Low Level Symmetries into Reachability Analysis (KS0), pp. 315–330.
- PLDI-2000-GhemawatRS #analysis #interprocedural #low cost
- Field analysis: getting useful and low-cost interprocedural information (SG, KHR, DJS), pp. 334–344.
- IFL-2000-KlusikLPR #implementation #parallel #programming
- Implementation Skeletons in Eden: Low-Effort Parallel Programming (UK, RL, SP, FR), pp. 71–88.
- ICPR-v2-2000-Baram #pattern matching #pattern recognition #random #recognition
- Random Embedding Machines for Low-Complexity Pattern Recognition (YB), pp. 2748–2754.
- ICPR-v2-2000-BeiraghiAAS #classification #fault #fuzzy #recognition
- Application of Fuzzy Integrals in Fusion of Classifiers for Low Error Rate Handwritten Numerals Recognition (SB, MA, MASA, MS), pp. 2487–2490.
- ICPR-v2-2000-ZwartK #modelling
- Constrained Mixture Modeling of Intrinsically Low-Dimensional Distributions (JPZ, BJAK), pp. 2610–2613.
- ICPR-v3-2000-LeiHR #detection #image #low level
- Detecting Generic Low-Level Features in Images (BJL, EAH, MJTR), pp. 3979–3982.
- CC-2000-Kim #compilation #embedded #optimisation
- Advanced Compiler Optimization for Calm RISC8 Low-End Embedded Processor (DHK), pp. 173–188.
- HPCA-2000-NeefsVB #latency #multi
- A Technique for High Bandwidth and Deterministic Low Latency Load/Store Accesses to Multiple Cache Banks (HN, HV, KDB), pp. 313–324.
- OSDI-2000-KimCKNMCK
- A Low-Overhead, High-Performance Unified Buffer Management Scheme That Exploits Sequential and Looping References (JMK, JC, JK, SHN, SLM, YC, CSK), pp. 119–134.
- DAC-1999-BeniniMMPS #communication #interface #power management #synthesis
- Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses (LB, AM, EM, MP, RS), pp. 128–133.
- DAC-1999-ErcegovacKP #behaviour #multi #optimisation #power management #precise #synthesis #using
- Low-Power Behavioral Synthesis Optimization Using Multiple Precision Arithmetic (MDE, DK, MP), pp. 568–573.
- DAC-1999-HashimotoOT #design #power management #reduction
- A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design (MH, HO, KT), pp. 446–451.
- DAC-1999-Henkel #approach #clustering #embedded #hardware #power management
- A Low Power Hardware/Software Partitioning Approach for Core-Based Embedded Systems (JH), pp. 122–127.
- DAC-1999-PatraN #automation #power management #synthesis
- Automated Phase Assignment for the Synthesis of Low Power Domino Circuits (PP, UN), pp. 379–384.
- DAC-1999-ShiueC #embedded #memory management #power management
- Memory Exploration for Low Power, Embedded Systems (WTS, CC), pp. 140–145.
- DAC-1999-SundararajanP #power management #synthesis #using
- Synthesis of Low Power CMOS VLSI Circuits Using Dual Supply Voltages (VS, KKP), pp. 72–75.
- DAC-1999-WeiCRYD #design #power management
- Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications (LW, ZC, KR, YY, VD), pp. 430–435.
- DATE-1999-HwangVH #clustering #functional #power management
- FSMD Functional Partitioning for Low Power (EH, FV, YCH), pp. 22–27.
- DATE-1999-KimKHL #logic #power management #synthesis
- Logic Transformation for Low Power Synthesis (KWK, SMK, TH, CLL), pp. 158–162.
- DATE-1999-NicoliciA #hardware #performance
- Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths (NN, BMAH), p. 289–?.
- DATE-1999-NoethK #encoding #power management
- Spanning Tree-based State Encoding for Low Power Dissipation (WN, RK), pp. 168–174.
- DATE-1999-StopjakovaMS #monitoring #testing
- On-Chip Transient Current Monitor for Testing of Low Voltage CMOS IC (VS, HARM, MS), pp. 538–542.
- ICDAR-1999-KamadaF #image #performance
- High-speed, High-accuracy Binarization Method for Recognizing Text in Images of Low Spatial Resolutions (HK, KF), pp. 139–142.
- ICDAR-1999-OkunPS #documentation #estimation #image #robust
- Robust Skew Estimation on Low-Resolution Document Images (OO, MP, JJS), pp. 621–624.
- WCRE-1999-LakhotiaD
- Restructuring Functions with Low Cohesion (AL, JCD), pp. 36–46.
- STOC-1999-Gupta #metric
- Embedding Tree Metrics Into Low Dimensional Euclidean Spaces (AG), pp. 694–700.
- STOC-1999-Rojas #complexity #geometry #on the
- On the Complexity of Diophantine Geometry in Low Dimensions (Extended Abstract) (JMR), pp. 527–536.
- ICALP-1999-CraryM #low level #programming language
- Type Structure for Low-Level Programming Languages (KC, JGM), pp. 40–54.
- ICALP-1999-Pagh
- Low Redundancy in Static Dictionaries with O(1) Worst Case Lookup Time (RP), pp. 595–604.
- ICALP-1999-PelegR #complexity #distributed
- A Variant of the Arrow Distributed Directory with Low Average Complexity (DP, ER), pp. 615–624.
- ICALP-1999-SantisCP
- Non-Interactive Zero-Knowledge: A Low-Randomness Characterization of NP (ADS, GDC, GP), pp. 271–280.
- HCI-CCAD-1999-Jacko #performance #predict
- The importance of clinical diagnoses in the prediction of performance on computer-based tasks for low vision users (JAJ), pp. 787–791.
- HCI-EI-1999-Haubner #image #on the #quality #visual notation
- On the Visual Quality of Still Images and of Low-motion Talking Head Digital Videos (PJH), pp. 471–475.
- AdaEurope-1999-RuizG #implementation #low level #runtime
- Implementing a New Low-Level Tasking Support for the GNAT Runtime System (JFR, JMGB), pp. 298–307.
- SIGAda-1999-Doran #ada #c #low level
- Interfacing low-level C device drivers with Ada 95 (SD), pp. 133–143.
- SAC-1999-HarwoodS #low cost #network
- A Method of Trading Diameter for Reduced Degree to Construct Low Cost Interconnection Networks (AH, HS), pp. 474–480.
- SOSP-1999-DouceurB #process
- Progress-based regulation of low-importance processes (JRD, WJB), pp. 247–260.
- ASE-1998-ReyesR #ada #encapsulation #testing
- Specification-based Testing of Ada Units with Low Encapsulation (AAR, DJR), pp. 22–31.
- DAC-1998-GhoshDJ #low cost #performance #testing
- A Fast and Low Cost Testing Technique for Core-Based System-on-Chip (IG, SD, NKJ), pp. 542–547.
- DAC-1998-HwangCH #approach #design #power management #re-engineering #using
- A Re-engineering Approach to Low Power FPGA Design Using SPFD (JMH, FYC, TH), pp. 722–725.
- DAC-1998-MonteiroO #composition #finite #power management #state machine
- Finite State Machine Decomposition For Low Power (JCM, ALO), pp. 758–763.
- DAC-1998-UsamiIIKTHATK #design #power management #scalability
- Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques (KU, MI, TI, MK, MT, MH, HA, TT, TK), pp. 483–488.
- DAC-1998-WeiCJRD #design #optimisation #performance
- Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits (LW, ZC, MJ, KR, VD), pp. 489–494.
- DATE-1998-AntolaPS #approach #detection #fault
- A Low-Redundancy Approach to Semi-Concurrent Error Detection in Data Paths (AA, VP, MS), pp. 266–272.
- DATE-1998-DagaOA
- Temperature Effect on Delay for Low Voltage Applications (JMD, EO, DA), pp. 680–685.
- DATE-1998-KhouriLJ #control flow #named #power management #synthesis
- IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits (KSK, GL, NKJ), pp. 848–854.
- DATE-1998-RoyAB #clustering #named #power management
- PowerShake: A Low Power Driven Clustering and Factoring Methodology for Boolean Expressions (SR, HA, PB), pp. 967–968.
- VLDB-1998-GrovlenHT #low cost #query
- Low-Cost Compensation-Based Query Processing (ØG, SOH, ØT), pp. 182–193.
- STOC-1998-ColeMHMRSSV #multi #network #protocol #random
- Randomized Protocols for Low Congestion Circuit Routing in Multistage Interconnection Networks (RC, BMM, FMadH, MM, AWR, KS, RKS, BV), pp. 378–388.
- STOC-1998-LubyMSS #analysis #design #graph #using
- Analysis of Low Density Codes and Improved Designs Using Irregular Graphs (ML, MM, MAS, DAS), pp. 249–258.
- ICALP-1998-CiceroneSF
- Static and Dynamic Low-Congested Interval Routing Schemes (SC, GDS, MF), pp. 592–603.
- ICALP-1998-CookFMSS #network
- Low-Bandwidth Routing and Electrical Power Networks (DC, VF, MVM, AS, YJS), pp. 604–615.
- CSCW-1998-BrandenburgBDLRR #collaboration #framework #named
- Artefact: A Framework for Low-Overhead Web-Based Collaborative Systems (JLB, BB, TD, JL, DR, TR), pp. 189–196.
- CIKM-1998-JacksonAKG #generative #information management #parsing #query #retrieval
- Information Extraction from Case Low and Retrieval of Prior Cases by Partial Parsing and Query Generation (PJ, KAK, CK, BG), pp. 60–67.
- ICPR-1998-ArandaCGS #architecture #distance #low cost
- Low cost architecture for structure measure distance computation (JA, JC, AG, AS), pp. 1592–1594.
- ICPR-1998-FalzonM #image
- Low bit rate image coding over bases (FF, SM), pp. 1260–1263.
- ICPR-1998-RodriguezMNZMP98a #segmentation
- Segmentation of low-quality typewritten digits (CR, JM, MN, AZ, JIM, JMP), pp. 1106–1109.
- DAC-1997-ChenHL #approach #design #power management #re-engineering
- Low Power FPGA Design — A Re-engineering Approach (CSC, TH, CLL), pp. 656–661.
- DAC-1997-Frenkil #design #power management #tool support
- Tools and Methodologies for Low Power Design (JF), pp. 76–81.
- DAC-1997-Gebotys #energy #memory management #network #using
- Low Energy Memory and Register Allocation Using Network Flow (CHG), pp. 435–440.
- DAC-1997-KirovskiP #power management #realtime #synthesis
- System-Level Synthesis of Low-Power Hard Real-Time Systems (DK, MP), pp. 697–702.
- DAC-1997-MurofushiIMM #layout #power management
- Layout Driven Re-synthesis for Low Power Consumption LSIs (MM, TI, MM, TM), pp. 666–669.
- DAC-1997-PandaN #power management #synthesis
- Technology-Dependent Transformations for Low-Power Synthesis (RP, FNN), pp. 650–655.
- DAC-1997-TsuiCWDP #design #estimation #framework #power management #video
- A Power Estimation Framework for Designing Low Power Portable Video Applications (CYT, KKC, QW, CSD, MP), pp. 421–424.
- EDTC-1997-BensoPRRU #approach #fault #graph #low level
- A new approach to build a low-level malicious fault list starting from high-level description and alternative graphs (AB, PP, MR, MSR, RU), pp. 560–565.
- EDTC-1997-BhattacharyaDS #testing
- An RTL methodology to enable low overhead combinational testing (SB, SD, BS), pp. 146–152.
- EDTC-1997-LuS
- A CMOS low-voltage, high-gain op-amp (GNL, GS), pp. 51–55.
- EDTC-1997-SurtiCT #automaton #design #encoding #power management #using
- Low power FSM design using Huffman-style encoding (PS, LFC, AT), pp. 521–525.
- ICDAR-1997-ChouC #documentation #image #recognition
- Optical Chinese character recognition for low-quality document images (TRC, FC), pp. 608–611.
- ICDAR-1997-SawaTWKM #automation #quality #recognition #string
- Low Quality String Recognition for Factory Automation (KS, ST, TW, FK, YM), pp. 475–478.
- ICSM-2000-BasharKKSW #security #tool support
- Low-threat security patches and tools (MAB, GK, MGK, EHS, SSWJ), pp. 306–313.
- STOC-1997-AroraS #testing
- Improved Low-Degree Testing and its Applications (SA, MS), pp. 485–495.
- STOC-1997-RazS
- A Sub-Constant Error-Probability Low-Degree Test, and a Sub-Constant Error-Probability PCP Characterization of NP (RR, SS), pp. 475–484.
- HCI-CC-1997-AnkrumS #monitoring #variability
- Heart Rate Variability in Eye-Level and Low Monitor Conditions (DRA, KS), pp. 571–574.
- HCI-CC-1997-SakairiS #named #network
- Helen: A Shared Chalkboard for Low-Bandwidth Networks (TS, MS), pp. 15–18.
- SAC-1997-KozaBAK #evolution #programming #search-based #using
- Evolution using genetic programming of a low-distortion, 96 decibel operational amplifier (JRK, FHBI, DA, MAK), pp. 207–216.
- ESEC-FSE-1997-Obbink #analysis #architecture #case study #experience #industrial
- Analysis of Software Architectures in High and Low Volume Electronic Systems, Industrial Experience Report (JHO), pp. 523–524.
- HPDC-1997-SteeleDKL #interface #multi #network
- A Bus-Efficient Low-Latency Network Interface for the PDSS Multicomputer (CSS, JTD, JK, CL), pp. 213–222.
- HPDC-1997-YocumCGL
- Cut-Through Delivery in Trapeze: An Exercise in Low-Latency Messaging (KY, JSC, AJG, ARL), pp. 243–252.
- DAC-1996-ChandrakasanYVA #design #tool support
- Design Considerations and Tools for Low-voltage Digital System Design (AC, IY, CV, DA), pp. 113–118.
- DAC-1996-ChenS #algorithm #power management
- An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing (DSC, MS), pp. 783–788.
- DAC-1996-IyerK #architecture #named #self #synthesis
- Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis (BI, RK), pp. 137–142.
- DAC-1996-Wolfe #power management
- Opportunities and Obstacles in Low-Power System-Level CAD (AW), pp. 15–20.
- DAC-1996-XiD #design #power management
- Useful-Skew Clock Routing With Gate Sizing for Low Power Design (JGX, WWMD), pp. 383–388.
- CHI-1996-VirziSK #identification #problem #prototype #usability #using
- Usability Problem Identification Using Both Low- and High-Fidelity Prototypes (RAV, JLS, DK), pp. 236–243.
- ICPR-1996-Kovacs-Vajna #quality
- A system for reading low quality characters from printouts (ZMKV), pp. 185–189.
- ICPR-1996-PeiH96a #algorithm #complexity #detection #symmetry
- A low complexity algorithm for detecting rotational symmetry based on the Hough transform technique (SCP, JHH), pp. 492–496.
- ICPR-1996-SuriHS #automation #bound #fault
- Correction of systematic errors in automatically produced boundaries from low-contrast ventriculograms (JSS, RMH, FHS), pp. 361–365.
- SAC-1996-CucuccioGS #fuzzy #logic #low cost #performance
- W.A.R.P and FUZZYSTUDIO: an easy and fast way to implement, With low cost, fuzzy logic control systems (AC, BG, GS), pp. 580–585.
- ASPLOS-1996-ScalesGT #approach #memory management #named
- Shasta: A Low Overhead, Software-Only Approach for Supporting Fine-Grain Shared Memory (DJS, KG, CAT), pp. 174–185.
- DAC-1995-ChangP #power management
- Register Allocation and Binding for Low Power (JMC, MP), pp. 29–35.
- DAC-1995-DevadasM #bibliography #optimisation #power management
- A Survey of Optimization Techniques Targeting Low Power VLSI Circuits (SD, SM), pp. 242–247.
- DAC-1995-ImanP #logic #power management
- Logic Extraction and Factorization for Low Power (SI, MP), pp. 248–253.
- DAC-1995-ParulkarGB #design
- Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead (IP, SKG, MAB), pp. 395–401.
- DAC-1995-XiD #power management #process
- Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
- ICSM-1995-KhoshgoftaarSV #detection #testing
- Detecting program modules with low testability (TMK, RMS, JMV), pp. 242–250.
- PEPM-1995-Debray #abstract interpretation #low level #optimisation
- Abstract Interpretation and Low-Level Code Optimization (SKD), pp. 111–121.
- CHI-1995-KlineG #people #user interface
- Improving GUI Accessibility for People with Low Vision (RLK, EPG), pp. 114–121.
- ICML-1995-Schmidhuber #complexity
- Discovering Solutions with Low Kolmogorov Complexity and High Generalization Capability (JS), pp. 488–496.
- OOPSLA-1995-ItohYT #concurrent #low level #named #operating system #programming #using
- SCONE: Using Concurrent Objects for Low-level Operating System Programming (JiI, YY, MT), pp. 385–398.
- DAC-1994-FangG #low cost #testing
- Clock Grouping: A Low Cost DFT Methodology for Delay Testing (WCF, SKG), pp. 94–99.
- DAC-1994-SchobingerN #design #power management
- Low Power CMOS Design Strategies (MS, TGN), pp. 594–595.
- EDAC-1994-LinGB #generative #low cost #novel
- A Low Cost BIST Methodology and Associated Novel Test Pattern Generator (SPL, SKG, MAB), pp. 106–112.
- EDAC-1994-MichelLSDC #dependence
- Taking Advantage of ASICs to Improve Dependability with Very Low Overheads (TM, RL, GS, RD, PC), pp. 14–18.
- VLDB-1994-JohnsonS #algorithm #named #performance
- 2Q: A Low Overhead High Performance Buffer Management Replacement Algorithm (TJ, DS), pp. 439–450.
- VLDB-1994-OzdenBRS #database #low cost
- A Low-Cost Storage Server for Movie on Demand Databases (BÖ, AB, RR, AS), pp. 594–605.
- STOC-1994-FeigeK #fault #protocol #proving
- Two prover protocols: low error at affordable rates (UF, JK), pp. 172–183.
- STOC-1994-KhullerRY
- Low degree spanning trees of small weight (SK, BR, NEY), pp. 412–421.
- DAC-1993-TsuiPD #composition #power management
- Technology Decomposition and Mapping Targeting Low Power Dissipation (CYT, MP, AMD), pp. 68–73.
- DLT-1993-DammHLR #complexity
- Deterministic OL Languages are of Very Low Complexity: DOL is in AC0 (CD, MH, KJL, PR), pp. 305–313.
- DLT-1993-Iwama #low level #trade-off
- Low-Level Tradeoffs between Reversals and Alternations (KI), pp. 326–341.
- HCI-ACS-1993-Martin
- Of Mice and Pens: Low Risk Healthy Postures for Modern Computing (MGM), pp. 791–796.
- HPDC-1993-ChenFFFRWY #distributed #interface #programming #prototype #scalability
- A Low-Latency Programming Interface and a Prototype Switch for Scalable High-Performance Distributed Computing (TC, JF, GF, GF, SR, BW, FKY), pp. 160–168.
- ICALP-1992-ArvindHHKLMOSST #reduction #set
- Reductions to Sets of Low Information Content (VA, YH, LAH, JK, AL, MM, MO, US, RS, TT), pp. 162–173.
- ICALP-1992-SheuL
- UP and the Low and High Hierarchies: A Relativized Separation (MJS, TJL), pp. 174–185.
- VLDB-1990-GrayHW #array #low cost #reliability #throughput
- Parity Striping of Disk Arrays: Low-Cost Reliable Storage with Acceptable Throughput (JG, BH, MW), pp. 148–161.
- VLDB-1989-LorieY #algorithm #communication #database #parallel #sorting
- A Low Communication Sort Algorithm for a Parallel Database Machine (RAL, HCY), pp. 125–134.
- ICALP-1989-AllenderH #bound
- Lower Bounds for the Low Hierarchy (Extended Abstract) (EA, LAH), pp. 31–45.
- SOSP-1989-Rosenburg #consistency #multi #scalability
- Low-Synchronization Translation Lookaside Buffer Consistency in Large-Scale Shared-Memory Multiprocessors (BSR), pp. 137–146.
- SIGMOD-1988-BorrP #integration #low level #performance #sql
- High Performance SQL Through Low-Level System Integration (AJB, GRP), pp. 342–349.
- DAC-1987-KrasniewskiP #low cost #self
- Circular Self-Test Path: A Low-Cost BIST Technique (AK, SP), pp. 407–415.
- ICALP-1986-BookORW #exponential #on the
- On Exponential Lowness (RVB, PO, DAR, OW), pp. 40–49.
- POPL-1985-FraserH #low level
- High-Level Language Facilities for Low-Level Services (CWF, DRH), pp. 217–224.
- DAC-1982-MatsudaFTMNKG #design #layout #low cost #named
- LAMBDA: A quick, low cost layout design system for master-slice LSI s (TM, TF, KT, HM, HN, FK, SG), pp. 802–808.
- DAC-1982-SmithW #data transformation #design #low cost
- A low cost, transportable, data management system for LSI/VLSI design (DCS, BSW), pp. 283–290.
- DAC-1981-EdmondsonJ #layout #low cost #verification
- A low cost hierarchical system for VLSI layout and verification (THE, RMJ), pp. 505–510.
- STOC-1981-AdachiIK #combinator #complexity #game studies #low level
- Low Level Complexity for Combinatorial Games (AA, SI, TK), pp. 228–237.
- DAC-1979-Meyer #interactive #low cost #performance
- A low cost satellite for fast interactive graphics in a time-sharing environment (BM), pp. 39–44.
- DAC-1976-Feller #automation #layout #low cost
- Automatic layout of low-cost quick-turnaround random-logic custom LSI devices (AF), pp. 79–85.
- DAC-1972-Mattison #low cost #quality
- A high quality, low cost router for MOS/LSI (RLM), pp. 94–103.
- DAC-1969-Martin #generative #low cost
- A low cost plotting system for generating electrical/electronic drawings (CDM), pp. 385–394.