BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
data (251)
analysi (239)
control (194)
inform (136)
base (135)

Stem flow$ (all stems)

1110 papers:

CASECASE-2015-BaeM #markov #modelling #multi #random
Markovian modeling of multiclass deterministic flow lines with random arrivals: The case of a single-channel (SYB, JRM), pp. 649–654.
CASECASE-2015-CarnielBRM #scheduling
Including workers with disabilities in flow shop scheduling (GCC, AJB, MR, CM), pp. 985–991.
DACDAC-2015-DaviHPSKSAJ #named
HAFIX: hardware-assisted flow integrity extension (LD, MH, DP, ARS, PK, DS, OA, YJ), p. 6.
DACDAC-2015-LeeHLP #data flow #debugging #information management #interface #performance
Efficient dynamic information flow tracking on a processor with core debug interface (JL, IH, YL, YP), p. 6.
DACDAC-2015-LiuZ #configuration management #performance
A reconfigurable analog substrate for highly efficient maximum flow computation (GL, ZZ), p. 6.
DACDAC-2015-TatsuokaWOHZOLT #design #synthesis
Physically aware high level synthesis design flow (MT, RW, TO, TH, QZ, RO, XL, TT), p. 6.
DACDAC-2015-TsengLHS #synthesis
Reliability-aware synthesis for flow-based microfluidic biochips by dynamic-device mapping (TMT, BL, TYH, US), p. 6.
DACDAC-2015-WangLZYW #architecture #configuration management #control flow
Acceleration of control flows on reconfigurable architecture with a composite method (JW, LL, JZ, SY, SW), p. 6.
DACDAC-2015-YaoHC #constraints #named
PACOR: practical control-layer routing flow with length-matching constraint for flow-based microfluidic biochips (HY, TYH, YC), p. 6.
DATEDATE-2015-AndradeMVAPL #analysis #data flow #modelling
Pre-simulation symbolic analysis of synchronization issues between discrete event and timed data flow models of computation (LA, TM, AV, CBA, FP, MML), pp. 1671–1676.
DATEDATE-2015-HuangLH #multi
Volume-oriented sample preparation for reactant minimization on flow-based microfluidic biochips with multi-segment mixers (CMH, CHL, JDH), pp. 1114–1119.
DATEDATE-2015-HuriauxCS #design #runtime
Design flow and run-time management for compressed FPGA configurations (CH, AC, OS), pp. 1551–1554.
DATEDATE-2015-ReichPEB #component #design #flexibility #proving
Silicon proof of the intelligent analog IP design flow for flexible automotive components (TR, HDBP, UE, RB), pp. 403–404.
SCAMSCAM-2015-LudemannK #control flow #graph
From preprocessor-constrained parse graphs to preprocessor-constrained control flow (DL, RK), pp. 211–220.
SASSAS-2015-RapoportLT #analysis #correlation #data flow #precise
Precise Data Flow Analysis in the Presence of Correlated Method Calls (MR, OL, FT), pp. 54–71.
STOCSTOC-2015-BansalK
Minimizing Flow-Time on Unrelated Machines (NB, JK), pp. 851–860.
FMFM-2015-ZhuYGZZZ #data flow #graph #model checking #scheduling
Static Optimal Scheduling for Synchronous Data Flow Graphs with Model Checking (XZ, RY, YLG, JZ, WZ, GZ), pp. 551–569.
ICFPICFP-2015-BuirasVR #data flow #dynamic typing #haskell #named #type system
HLIO: mixing static and dynamic typing for information-flow control in Haskell (PB, DV, AR), pp. 289–301.
CHICHI-2015-GrayTG #design #user interface
Flow of Competence in UX Design Practice (CMG, ALT, SG), pp. 3285–3294.
CHICHI-2015-KnavingWFB #comprehension #design #motivation
Flow is Not Enough: Understanding the Needs of Advanced Amateur Runners to Design Motivation Technology (KK, PW, MF, SB), pp. 2013–2022.
CSCWCSCW-2015-HindsRC
In the Flow, Being Heard, and Having Opportunities: Sources of Power and Power Dynamics in Global Teams (PJH, DR, CDC), pp. 864–875.
HCIHCI-IT-2015-TokdemirACMB #case study #design #interactive #interface #multimodal #navigation #representation #ubiquitous
Multimodal Interaction Flow Representation for Ubiquitous Environments — MIF: A Case Study in Surgical Navigation Interface Design (GT, GA, NEÇ, HHM, AOB), pp. 797–805.
HCIHIMI-IKD-2015-PiconeP #architecture #synthesis
A New Information Architecture: A Synthesis of Structure, Flow, and Dialectic (RARP, BP), pp. 320–331.
CAiSECAiSE-2015-KopkeE #data flow #design #equivalence
Equivalence Transformations for the Design of Interorganizational Data-Flow (JK, JE), pp. 367–381.
ICEISICEIS-v1-2015-RezendeJ #concurrent #process #using #workflow
Deadlock Avoidance in Interorganizational Business Processes using a Possibilistic WorkFlow Net (LPdR, SJ), pp. 429–439.
ICMLICML-2015-RezendeM #normalisation
Variational Inference with Normalizing Flows (DJR, SM), pp. 1530–1538.
SEKESEKE-2015-SunSWZ #analysis #data flow #detection #fault #integer #using
Improving the Accuracy of Integer Signedness Error Detection Using Data Flow Analysis (HS, CS, YW, QZ), pp. 601–606.
OOPSLAOOPSLA-2015-BastaniAA #android #data flow #verification
Interactively verifying absence of explicit information flows in Android apps (OB, SA, AA), pp. 299–315.
OOPSLAOOPSLA-2015-BlackshearCS #abstraction #control flow
Selective control-flow abstraction via jumping (SB, BYEC, MS), pp. 163–182.
OOPSLAOOPSLA-2015-FengWDL #control flow #interprocedural
EXPLORER : query- and demand-driven exploration of interprocedural control flow properties (YF, XW, ID, CL), pp. 520–534.
QAPLQAPL-2015-KawamotoG #data flow
Quantitative Information Flow for Scheduler-Dependent Systems (YK, TGW), pp. 48–62.
POPLPOPL-2015-BonchiSZ #abstraction #graph
Full Abstraction for Signal Flow Graphs (FB, PS, FZ), pp. 515–526.
POPLPOPL-2015-LourencoC #data flow
Dependent Information Flow Types (LL, LC), pp. 317–328.
REFSQREFSQ-2015-KnaussARI #development #feedback #requirements #research
Research Preview: Supporting Requirements Feedback Flows in Iterative System Development (EK, AA, MR, EI), pp. 277–283.
SACSAC-2015-BekkoucheCR #approach #constraints #fault #locality #named
LocFaults: a new flow-driven and constraint-based error localization approach (MB, HC, MR), pp. 1773–1780.
SACSAC-2015-HublerRFS #data flow #named #using
P-SaMI: a data-flow pattern to perform massively-parallel molecular docking experiments using a fully-flexible receptor model (PH, DDAR, JEF, ONdS), pp. 54–57.
SACSAC-2015-LopesFBM #communication #named #network #smarttech
SMARTFlow: a solution for autonomic management and control of communication networks for smart grids (YL, NCF, CAMB, DCMS), pp. 2212–2217.
SACSAC-2015-MartinCBGP #algorithm #gpu
OpenACC-based GPU acceleration of an optical flow algorithm (NM, JC, GB, CG, MP), pp. 96–98.
SACSAC-2015-MongioviGFPT #analysis #approach #data flow #detection #hybrid #java
Combining static and dynamic data flow analysis: a hybrid approach for detecting data leaks in java applications (MM, GG, AF, GP, ET), pp. 1573–1579.
SACSAC-2015-ThionLB #data access #data flow #relational
Tuple-based access control: a provenance-based information flow control for relational data (RT, FL, MBGT), pp. 2165–2170.
ICSEICSE-v1-2015-DenaroMPV #data flow #object-oriented #testing
Dynamic Data Flow Testing of Object Oriented Systems (GD, AM, MP, MV), pp. 947–958.
ICSEICSE-v1-2015-MullerF #developer
Stuck and Frustrated or in Flow and Happy: Sensing Developers’ Emotions and Progress (SCM, TF), pp. 688–699.
ICSEICSE-v1-2015-SuFPHS #data flow #execution #model checking #symbolic computation #testing
Combining Symbolic Execution and Model Checking for Data Flow Testing (TS, ZF, GP, JH, ZS), pp. 654–665.
ICSEICSE-v1-2015-YangYWWR #analysis #android #control flow
Static Control-Flow Analysis of User-Driven Callbacks in Android Applications (SY, DY, HW, YW, AR), pp. 89–99.
ICSEICSE-v2-2015-Bichhawat #analysis
Post-Dominator Analysis for Precisely Handling Implicit Flows (AB), pp. 787–789.
ASPLOSASPLOS-2015-ZhangWSM #data flow #design #hardware #information management #security
A Hardware Design Language for Timing-Sensitive Information-Flow Security (DZ, YW, GES, ACM), pp. 503–516.
CGOCGO-2015-ArthurMDA #control flow
Getting in control of your control flow with control-data isolation (WA, BM, RD, TMA), pp. 79–90.
CGOCGO-2015-NagarajG #analysis #approximate #mining #pointer #using
Approximating flow-sensitive pointer analysis using frequent itemset mining (VN, RG), pp. 225–234.
LCTESLCTES-2015-0001HL #analysis #kernel #realtime
Cross-Kernel Control-Flow-Graph Analysis for Event-Driven Real-Time Systems (CD, MH, DL), p. 10.
ISSTAISSTA-2015-ClappAA #data flow #mining #named #specification
Modelgen: mining explicit information flow specifications from concrete executions (LC, SA, AA), pp. 129–140.
LICSLICS-2015-McIverMR #data flow #markov #modelling #monad
Abstract Hidden Markov Models: A Monadic Account of Quantitative Information Flow (AM, CM, TMR), pp. 597–608.
LICSLICS-2015-Smith #data flow #tutorial
Recent Developments in Quantitative Information Flow (Invited Tutorial) (GS), pp. 23–31.
ASEASE-2014-ShenVTADLKZ #data flow
Information flows as a permission mechanism (FS, NV, CT, MA, BD, EJL, SYK, LZ), pp. 515–526.
CASECASE-2014-DengWW #algorithm #distributed #problem #scheduling
A competitive memetic algorithm for the distributed flow shop scheduling problem (JD, LW, SW), pp. 107–112.
CASECASE-2014-MashaeiL #energy #reduction
Energy reduction in cyclic flow shop plants through on-off control of robots (MM, BL), pp. 492–497.
CASECASE-2014-QiuSL #multi #optimisation #simulation
A simulation based GA for multi-objective optimization in patient flow distribution (YQ, JS, ZL), pp. 1–6.
DACDAC-2014-AbarbanelSV #challenge #validation
Validation of SoC Firmware-Hardware Flows: Challenges and Solution Directions (YA, ES, MYV), p. 4.
DACDAC-2014-ChenT #control flow #design
Reliability-aware Register Binding for Control-Flow Intensive Designs (LC, MBT), p. 6.
DACDAC-2014-DaviKS #control flow #embedded #fine-grained #performance #towards
Hardware-Assisted Fine-Grained Control-Flow Integrity: Towards Efficient Protection of Embedded Systems Against Software Exploitation (LD, PK, ARS), p. 6.
DACDAC-2014-LiangC #analysis #clustering #named #network #probability #reduction #scalability #smarttech
ClusRed: Clustering and Network Reduction Based Probabilistic Optimal Power Flow Analysis for Large-Scale Smart Grids (YL, DC), p. 6.
DACDAC-2014-LinLCLH #algorithm #performance
An Efficient Bi-criteria Flow Channel Routing Algorithm For Flow-based Microfluidic Biochips (CXL, CHL, ICC, DTL, TYH), p. 6.
DACDAC-2014-ShrivastavaRJW #analysis #control flow #fault
Quantitative Analysis of Control Flow Checking Mechanisms for Soft Errors (AS, AR, RJ, CJW), p. 6.
DATEDATE-2014-ChenWP #capacity #concurrent #distributed #framework
Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure (SC, YW, MP), pp. 1–6.
DATEDATE-2014-Fu0PJZ #data flow #detection #fault #parallel #thread
A fault detection mechanism in a Data-flow scheduled Multithreaded processor (JF, QY, RP, CRJ, CZ), pp. 1–4.
DATEDATE-2014-LongLY #analysis #bound #evaluation #modelling #multi
Analysis and evaluation of per-flow delay bound for multiplexing models (YL, ZL, XY), pp. 1–4.
DATEDATE-2014-SharmaPLAC #composition #data flow #energy #performance
Energy efficient data flow transformation for Givens Rotation based QR Decomposition (NS, PRP, ML, PA, FC), pp. 1–4.
DRRDRR-2014-DaherB #documentation #segmentation
Document flow segmentation for business applications (HD, AB), p. ?–11.
SIGMODSIGMOD-2014-0001SW #data flow #named #source code
BabbleFlow: a translator for analytic data flow programs (PJ, AS, KW), pp. 713–716.
SIGMODSIGMOD-2014-RheinlanderBKHSL #data flow #optimisation
Versatile optimization of UDF-heavy data flows with sofa (AR, MB, AK, AH, TS, UL), pp. 685–688.
FASEFASE-2014-CavalcantiG #data flow #testing
Data Flow Coverage for Circus-Based Testing (AC, MCG), pp. 415–429.
FASEFASE-2014-GomesPG #bytecode #control flow #graph #java #source code
Sound Control Flow Graph Extraction from Incomplete Java Bytecode Programs (PdCG, AP, DG), pp. 215–229.
SCAMSCAM-2014-LiangSM #analysis #performance
Fast Flow Analysis with Godel Hashes (SL, WS, MM), pp. 225–234.
SCAMSCAM-2014-LiangSMKH #analysis #automaton #exception
Pruning, Pushdown Exception-Flow Analysis (SL, WS, MM, AWK, DVH), pp. 265–274.
PLDIPLDI-2014-ArztRFBBKTOM #analysis #android #named #precise
FlowDroid: precise context, flow, field, object-sensitive and lifecycle-aware taint analysis for Android apps (SA, SR, CF, EB, AB, JK, YLT, DO, PM), p. 29.
PLDIPLDI-2014-HuangMR #abstraction #concurrent #control flow #detection #predict
Maximal sound predictive race detection with control flow abstraction (JH, POM, GR), p. 36.
PLDIPLDI-2014-NiuT #composition #control flow
Modular control-flow integrity (BN, GT), p. 58.
SASSAS-2014-YeSX #analysis #pointer
Region-Based Selective Flow-Sensitive Pointer Analysis (SY, YS, JX), pp. 319–336.
STOCSTOC-2014-Vegh #algorithm #polynomial
A strongly polynomial algorithm for generalized flow maximization (LAV), pp. 644–653.
ICALPICALP-v1-2014-HohnMW #cost analysis #how #scheduling
How Unsplittable-Flow-Covering Helps Scheduling with Job-Dependent Cost Functions (WH, JM, AW), pp. 625–636.
LATALATA-2014-SeidlK #analysis #data flow #interprocedural #xml
Interprocedural Information Flow Analysis of XML Processors (HS, MK), pp. 34–61.
IFLIFL-2014-AdsitF #analysis #control flow #performance #system f
An Efficient Type- and Control-Flow Analysis for System F (CA, MF), p. 3.
HCIHIMI-DE-2014-SakaguchiIH #development #performance #using
Development of High-Speed Thermal Display Using Water Flow (MS, KI, KH), pp. 233–240.
HCILCT-NLE-2014-VasiliouIZ #case study #experience #learning #multimodal #student
Measuring Students’ Flow Experience in a Multimodal Learning Environment: A Case Study (CV, AI, PZ), pp. 346–357.
VISSOFTVISSOFT-2014-ToprakWS #assembly #control flow #lightweight #regular expression #visualisation
Lightweight Structured Visualization of Assembler Control Flow Based on Regular Expressions (ST, AW, SS), pp. 97–106.
EDOCEDOC-2014-RitterS #exception #integration #modelling
Modeling Exception Flows in Integration Systems (DR, JS), pp. 12–21.
ICEISICEIS-v1-2014-SilvaSMMS #branch #design #heuristic #network #problem
A Heuristic Procedure with Local Branching for the Fixed Charge Network Design Problem with User-optimal Flow (PHGS, LGS, CAdJM, PYPM, ES), pp. 384–394.
ICEISICEIS-v3-2014-KubovyAK #behaviour #composition #control flow
Behavior-based Decomposition of BPMN 2.0 Control Flow (JK, DA, JK), pp. 263–271.
ICMLICML-c2-2014-GleichM #algorithm #approximate #case study
Anti-differentiating approximation algorithms: A case study with min-cuts, spectral, and flow (DG, MWM), pp. 1018–1025.
ICMLICML-c2-2014-LinYHY #distance #learning
Geodesic Distance Function Learning via Heat Flow on Vector Fields (BL, JY, XH, JY), pp. 145–153.
ICPRICPR-2014-BrizziGSS #video
Optical Flow Based Expression Suppression in Video (JB, DBG, SS, MS), pp. 1817–1821.
ICPRICPR-2014-CaliskanA #using
Atmospheric Turbulence Mitigation Using Optical Flow (TC, NA), pp. 883–888.
ICPRICPR-2014-ChengY #analysis
Nighttime Traffic Flow Analysis for Rain-Drop Tampered Cameras (HYC, CCY), pp. 714–719.
ICPRICPR-2014-DrewsNXC
Generalized Optical Flow Model for Scattering Media (PDJ, ERN, AX, MFMC), pp. 3999–4004.
ICPRICPR-2014-HafnerDW
Simultaneous HDR and Optic Flow Computation (DH, OD, JW), pp. 2065–2070.
ICPRICPR-2014-LuoZYY #estimation
Region Tree Based Sparse Model for Optical Flow Estimation (WL, FZ, JY, JYY), pp. 2077–2082.
ICPRICPR-2014-RamirezOT #detection #multi
Go with the Flow: Improving Multi-view Vehicle Detection with Motion Cues (AR, EOB, MMT), pp. 4140–4145.
ICPRICPR-2014-XuWH #estimation
Curvature Estimation for Ricci Flow Embedding (EX, RCW, ERH), pp. 1562–1567.
ICPRICPR-2014-YangTL #energy #image #recognition #using
Gait Recognition Using Flow Histogram Energy Image (YY, DT, GL), pp. 444–449.
ICPRICPR-2014-ZhuYLL #3d #robust
Robust 3D Morphable Model Fitting by Sparse SIFT Flow (XZ, DY, ZL, SZL), pp. 4044–4049.
KMISKMIS-2014-BastosMBFF #data flow #modelling
Information Flow Modeling. A Tool to Support the Integrated Management of Information and Knowledge (CAMB, MRM, ACMB, SJMdSF, JRdFF), pp. 76–86.
SEKESEKE-2014-DingSZ #development #protocol
Development of A Sliding Window Protocol for Data Synchronization in a Flow Cytometer (JD, YS, DZ), pp. 626–631.
OnwardOnward-2014-Murphy #development
Getting to Flow in Software Development (GCM), pp. 269–281.
OOPSLAOOPSLA-2014-BellK #data flow #named
Phosphor: illuminating dynamic data flow in commodity jvms (JB, GEK), pp. 83–101.
POPLPOPL-2014-AmorimCDDHPPPT #architecture #data flow
A verified information-flow architecture (AAdA, NC, AD, DD, CH, DP, BCP, RP, AT), pp. 165–178.
SACSAC-2014-HedinBBS #api #data flow #javascript #named
JSFlow: tracking information flow in JavaScript and its APIs (DH, AB, LB, AS), pp. 1663–1671.
SACSAC-2014-JunAK #detection #using
DDoS attack detection by using packet sampling and flow features (JHJ, CWA, SHK), pp. 711–712.
FSEFSE-2014-JaffarM #control flow #graph #slicing
A path-sensitively sliced control flow graph (JJ, VM), pp. 133–143.
FSEFSE-2014-LerchHBM #analysis #named #performance #scalability
FlowTwist: efficient context-sensitive inside-out taint analysis for large codebases (JL, BH, EB, MM), pp. 98–108.
ICSEICSE-2014-ArztB #analysis #data flow #incremental #named
Reviser: efficiently updating IDE-/IFDS-based data-flow analyses in response to incremental program changes (SA, EB), pp. 288–298.
ICSEICSE-2014-LeP #control flow #graph #interprocedural #multi #verification
Patch verification via multiversion interprocedural control flow graphs (WL, SDP), pp. 1047–1058.
SLESLE-2014-Hills #control flow #graph
Streamlining Control Flow Graph Construction with DCFlow (MH), pp. 322–341.
CCCC-2014-AnantpurG #control flow
Taming Control Divergence in GPUs through Control Flow Linearization (JA, RG), pp. 133–153.
CGOCGO-2014-YeSX #analysis #detection
Accelerating Dynamic Detection of Uses of Undefined Values with Static Value-Flow Analysis (DY, YS, JX), p. 154.
HPCAHPCA-2014-ElTantawyMOA #architecture #control flow #gpu #multi #performance #scalability
A scalable multi-path microarchitecture for efficient GPU control flow (AE, JWM, MO, TMA), pp. 248–259.
OSDIOSDI-2014-ZhaoZLULYS #distributed #named #profiling
lprof: A Non-intrusive Request Flow Profiler for Distributed Systems (XZ, YZ, DL, MFU, YL, DY, MS), pp. 629–644.
ICSTICST-2014-AraujoC #data flow #in the large #testing
Data-Flow Testing in the Large (RPAdA, MLC), pp. 81–90.
ICSTICST-2014-DenaroPV #data flow #on the #testing
On the Right Objectives of Data Flow Testing (GD, MP, MV), pp. 71–80.
ICSTICST-2014-PotetMPD #approach #control flow #evaluation #injection #named #robust
Lazart: A Symbolic Approach for Evaluation the Robustness of Secured Codes against Control Flow Injections (MLP, LM, MP, LD), pp. 213–222.
ISSTAISSTA-2014-GotliebM #named #network #reduction #testing
FLOWER: optimal test suite reduction as a network maximum flow (AG, DM), pp. 171–180.
VMCAIVMCAI-2014-HardekopfWCK #control flow
Widening for Control-Flow (BH, BW, BRC, VK), pp. 472–491.
ASEASE-2013-ChenJ #named #parallel #refactoring
JFlow: Practical refactorings for flow-based parallelism (NC, REJ), pp. 202–212.
ASEASE-2013-HolavanalliMNRSKZ #android
Flow Permissions for Android (SH, DM, VN, BR, FS, SYK, LZ), pp. 652–657.
ASEASE-2013-OhmannL #analysis #control flow #debugging #lightweight
Lightweight control-flow instrumentation and postmortem analysis in support of debugging (PO, BL), pp. 378–388.
CASECASE-2013-KimM #equilibrium #on the #random
On the equilibrium probabilities of deterministic flow lines with random arrivals (WsK, JRM), pp. 723–729.
CASECASE-2013-LiuLXB
Cooperative control of air flow for HVAC systems (SL, YL, LX, AMB), pp. 422–427.
CASECASE-2013-ShaoLW #approach #markov
A Markov chain approach to study flow disruptions on surgery in emergency care (XS, JL, DAW), pp. 990–995.
DACDAC-2013-BenazouzKHB #data flow #evaluation #graph #liveness
Liveness evaluation of a cyclo-static DataFlow graph (MB, AMK, TH, BB), p. 7.
DACDAC-2013-NacciRBSBA #algorithm #implementation #synthesis
A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices (AAN, VR, FB, DS, IB, DA), p. 6.
DACDAC-2013-OuCC
Simultaneous analog placement and routing with current flow and current density considerations (HCO, HCCC, YWC), p. 6.
DACDAC-2013-WangK #control flow #detection #hardware #kernel #named #performance #using
NumChecker: detecting kernel control-flow modifying rootkits by using hardware performance counters (XW, RK), p. 7.
DATEDATE-2013-FarisiBCS #automation #implementation #multi
An automatic tool flow for the combined implementation of multi-mode circuits (BAF, KB, JMPC, DS), pp. 821–826.
DATEDATE-2013-LiuLC #algorithm
A network-flow based algorithm for power density mitigation at post-placement stage (SYSL, RGL, HMC), pp. 1707–1710.
DATEDATE-2013-MalburgFF #analysis #comprehension #data flow #design
Tuning dynamic data flow analysis to support design understanding (JM, AF, GF), pp. 1179–1184.
DATEDATE-2013-NiakiS #automation #embedded #parallel #simulation
An automated parallel simulation flow for heterogeneous embedded systems (SHAN, IS), pp. 27–30.
SIGMODSIGMOD-2013-SimitsisW0 #data flow #framework #named
xPAD: a platform for analytic data flows (AS, KW, PJ), pp. 1109–1112.
FoSSaCSFoSSaCS-2013-KrebbersW #control flow #logic
Separation Logic for Non-local Control Flow and Block Scope Variables (RK, FW), pp. 257–272.
SCAMSCAM-2013-FlochYMMMNALSDCWS #design #framework #hardware #named #prototype
GeCoS: A framework for prototyping custom hardware design flows (AF, TY, AEM, AM, KM, MN, MA, LL, NS, SD, FC, CW, OS), pp. 100–105.
WCREWCRE-2013-DucheneRRG #black box #data flow #detection #modelling #named
LigRE: Reverse-engineering of control and data flow models for black-box XSS detection (FD, SR, JLR, RG), pp. 252–261.
STOCSTOC-2013-EisenstatK #algorithm #graph #linear #multi
Linear-time algorithms for max flow and multiple-source shortest paths in unit-weight planar graphs (DE, PNK), pp. 735–744.
STOCSTOC-2013-LeeRS #approach #using
A new approach to computing maximum flows using electrical flows (YTL, SR, NS), pp. 755–764.
STOCSTOC-2013-Orlin
Max flows in O(nm) time, or better (JBO), pp. 765–774.
ICALPICALP-v1-2013-0002BFGK
Minimizing Maximum (Weighted) Flow-Time on Related and Unrelated Machines (SA, KB, TF, NG, AK), pp. 13–24.
SFMSFM-2013-KopfR #analysis #automation #data flow
Automation of Quantitative Information-Flow Analysis (BK, AR), pp. 1–28.
CEFPCEFP-2013-DaneluttoT #parallel #programming
Structured Parallel Programming with “core” FastFlow (MD, MT), pp. 29–75.
CSCWCSCW-2013-IntroneD
Analyzing the flow of knowledge in computer mediated teams (JI, MD), pp. 341–356.
CSCWCSCW-2013-SmithQC #analysis #identification #using
Finger on the pulse: identifying deprivation using transit flow analysis (CSC, DQ, LC), pp. 683–692.
HCIDUXU-WM-2013-KimJ13a #case study #difference #experience #user interface
A Study of the Satisfaction Level of User Experience in Digital Media Space Accordance with Differences in Flow Characteristic (YK, ECJ), pp. 515–524.
HCIHCI-AMTE-2013-ErturanBTCYO #comprehension #mobile #representation
A Mobile Application Flow Representation for Mutual Understanding of IT and Healthcare Professionals (YNE, SB, GT, NEÇ, EY, ), pp. 310–319.
HCIHIMI-D-2013-SakataYO #game studies #modelling
Factor Models for Promoting Flow by Game Players’ Skill Level (MS, TY, MO), pp. 534–544.
HCIHIMI-HSM-2013-SuzukiMKY #behaviour
Human Behavior of Prioritizing Right-Turning Vehicles and Traffic Flow at Intersections (HS, YM, TK, YY), pp. 558–567.
ICEISICEIS-v2-2013-SuB #analysis #composition #data flow #graph #web #web service
Service Call Graph (SCG) — Information Flow Analysis in Web Service Composition (ZS, FB), pp. 17–24.
CIKMCIKM-2013-SubbianAS #analysis #mining #social
Content-centric flow mining for influence analysis in social streams (KS, CCA, JS), pp. 841–846.
ECIRECIR-2013-ZhuGCLN #graph #query #recommendation
Recommending High Utility Query via Session-Flow Graph (XZ, JG, XC, YL, WN), pp. 642–655.
KDIRKDIR-KMIS-2013-Bures #data flow #modelling #perspective #research
Modelling of Information Flows in the Business Administration Realm — The Research Perspective (VB), pp. 426–430.
KDIRKDIR-KMIS-2013-ItalaH #behaviour #diagrams #process #using
Using Stocks and Flows Diagrams to Understand Business Process Behavior (TI, MH), pp. 552–558.
KDIRKDIR-KMIS-2013-RezendeLJMRB #information management #workflow
Diagnosis and Prognosis of Knowledge Management based on k-Workflow, on Conversion and Knowledge Flow — The Case of the National Land Transport Agency in Brazil (LR, MAL, JdLPCJ, LAM, SAR, CAMB), pp. 413–418.
MLDMMLDM-2013-GaoD #data mining #distributed #mining #performance #using
Improving the Efficiency of Distributed Data Mining Using an Adjustment Work Flow (JG, JD), pp. 69–83.
MLDMMLDM-2013-LiM #community #mining #network #topic
Mining Groups of Common Interest: Discovering Topical Communities with Network Flows (LL, NDM), pp. 405–420.
MODELSMoDELS-2013-SaadB #analysis #data flow
Data-Flow Based Model Analysis and Its Applications (CS, BB), pp. 707–723.
LOPSTRLOPSTR-2013-BeckertBKSSU #data flow #object-oriented
Information Flow in Object-Oriented Software (BB, DB, VK, CS, PHS, MU), pp. 19–37.
PPDPPPDP-2013-StewartBN #data flow #data type #dependent type #policy #semistructured data
Dependent types for enforcement of information flow and erasure policies in heterogeneous data structures (GS, AB, AN), pp. 145–156.
POPLPOPL-2013-FarzanKP #data flow #graph #induction
Inductive data flow graphs (AF, ZK, AP), pp. 129–142.
SACSAC-2013-AktasG #assessment #authentication #control flow #runtime
Run-time control flow authentication: an assessment on contemporary x86 platforms (EA, KG), pp. 1859–1866.
SACSAC-2013-FlushingC #network #optimisation
A flow-based optimization model for throughput-oriented relay node placement in wireless sensor networks (EFF, GADC), pp. 632–639.
SACSAC-2013-KolbR #abstraction #adaptation #data flow #process
Data flow abstractions and adaptations through updatable process views (JK, MR), pp. 1447–1453.
ESEC-FSEESEC-FSE-2013-ClaretRNGB #analysis #data flow #using
Bayesian inference using data flow analysis (GC, SKR, AVN, ADG, JB), pp. 92–102.
ESEC-FSEESEC-FSE-2013-GarciaPSHM #distributed #identification
Identifying message flow in distributed event-based systems (JG, DP, GS, WGJH, NM), pp. 367–377.
LDTALDTA-J-2009-SoderbergEHM #abstract syntax tree #analysis #syntax
Extensible intraprocedural flow analysis at the abstract syntax tree level (ES, TE, GH, EM), pp. 1809–1827.
CCCC-2013-WhelanLK #architecture #data flow #independence #information management
Architecture-Independent Dynamic Information Flow Tracking (RW, TL, DRK), pp. 144–163.
HPCAHPCA-2013-ChenP
Worm-Bubble Flow Control (LC, TMP), pp. 366–377.
HPCAHPCA-2013-RhuE #control flow #execution #gpu #performance
The dual-path execution model for efficient GPU control flow (MR, ME), pp. 591–602.
ISMMISMM-2013-LiCK #analysis #graph #pointer #precise #scalability
Precise and scalable context-sensitive pointer analysis via value flow graph (LL, CC, NK), pp. 85–96.
LCTESLCTES-2013-HuberPP #analysis #control flow #graph #using
Combined WCET analysis of bitcode and machine code using control-flow relation graphs (BH, DP, PPP), pp. 163–172.
LCTESLCTES-2013-KhudiaM #control flow #low cost #using
Low cost control flow protection using abstract control signatures (DSK, SAM), pp. 3–12.
PPoPPPPoPP-2013-WozniakAWKLF #data flow #named #programming #scalability
Swift/T: scalable data flow programming for many-task applications (JMW, TGA, MW, DSK, ELL, ITF), pp. 309–310.
SOSPSOSP-2013-ThereskaBOKRTBZ #architecture #named
IOFlow: a software-defined storage architecture (ET, HB, GO, TK, AITR, TT, RB, TZ), pp. 182–196.
CAVCAV-2013-ChenAS #hybrid
Flow*: An Analyzer for Non-linear Hybrid Systems (XC, , SS), pp. 258–263.
ICSTICST-2013-KangKHKNSC #formal method #modelling #verification
Formal Modeling and Verification of SDN-OpenFlow (MK, EYEK, DYH, BJK, KHN, MKS, JYC), pp. 481–482.
VMCAIVMCAI-2013-ChristESW #fault #locality
Flow-Sensitive Fault Localization (JC, EE, MS, TW), pp. 189–208.
VMCAIVMCAI-2013-Pearce #type system
Sound and Complete Flow Typing with Unions, Intersections and Negations (DJP), pp. 335–354.
ASEASE-2012-XiaoTFHM #analysis #privacy
User-aware privacy control via extended static-information-flow analysis (XX, NT, MF, JdH, MM), pp. 80–89.
CASECASE-2012-DelettreLFV #3d #modelling
3-DOF potential air flow manipulation by inverse modeling control (AD, GJL, NLFP, CV), pp. 930–935.
CASECASE-2012-KimM #modelling #on the #probability #random #throughput
On the throughput of deterministic flow lines with random state dependent setups: Stochastic models and applications (WsK, JRM), pp. 650–655.
CASECASE-2012-ParkM #behaviour #bound #clustering #hybrid #linear #performance #tool support
Performance bounds for hybrid flow lines: Fundamental behavior, practical features and application to linear cluster tools (KP, JRM), pp. 371–376.
CASECASE-2012-TanWL #queue
Improving patient flow in emergency department through dynamic priority queue (KWT, CW, HCL), pp. 125–130.
DACDAC-2012-KuoHCKC #design #monte carlo #performance
Efficient trimmed-sample Monte Carlo methodology and yield-aware design flow for analog circuits (CCK, WYH, YHC, JFK, YKC), pp. 1113–1118.
DATEDATE-2012-FradetGP #data flow #named #parametricity
SPDF: A schedulable parametric data-flow MoC (PF, AG, PP), pp. 769–774.
DATEDATE-2012-JafariJL #analysis #scheduling #worst-case
Worst-case delay analysis of Variable Bit-Rate flows in network-on-chip with aggregate scheduling (FJ, AJ, ZL), pp. 538–541.
DATEDATE-2012-Mancini #kernel #memory management #synthesis
Enhancing non-linear kernels by an optimized memory hierarchy in a High Level Synthesis flow (SM, FR), pp. 1130–1133.
DATEDATE-2012-PontesCV #design #reliability
An accurate Single Event Effect digital design flow for reliable system level design (JJHP, NC, PV), pp. 224–229.
DATEDATE-2012-RayB #scalability #verification
Scalable progress verification in credit-based flow-control systems (SR, RKB), pp. 905–910.
SIGMODSIGMOD-2012-SimitsisWCD #data flow #execution #multi #optimisation
Optimizing analytic data flows for multiple execution engines (AS, KW, MC, UD), pp. 829–840.
VLDBVLDB-2012-EwenTKM #data flow #performance
Spinning Fast Iterative Data Flows (SE, KT, MK, VM), pp. 1268–1279.
VLDBVLDB-2012-HueskePSRBKT #black box #data flow #optimisation
Opening the Black Boxes in Data Flow Optimization (FH, MP, MS, AR, RB, AK, KT), pp. 1256–1267.
ICPCICPC-2012-IshioEI #data flow #interprocedural #lightweight #source code #visualisation
A lightweight visualization of interprocedural data-flow paths for source code reading (TI, SE, KI), pp. 37–46.
ICPCICPC-2012-YazdanshenasM #component #data flow #visualisation
Tracking and visualizing information flow in component-based systems (ARY, LM), pp. 143–152.
SCAMSCAM-2012-KargenS #analysis #comprehension #data flow #named
InputTracer: A Data-Flow Analysis Tool for Manual Program Comprehension of x86 Binaries (UK, NS), pp. 138–143.
SCAMSCAM-2012-ThiagarajanHBR #contract #data flow #developer
Bakar Alir: Supporting Developers in Construction of Information Flow Contracts in SPARK (HT, JH, JB, R), pp. 132–137.
SCAMSCAM-2012-VinjuG #complexity #control flow #metric #what
What Does Control Flow Really Look Like? Eyeballing the Cyclomatic Complexity Metric (JJV, MWG), pp. 154–163.
SASSAS-2012-CalvertM #analysis #calculus #control flow
Control Flow Analysis for the Join Calculus (PC, AM), pp. 181–197.
STOCSTOC-2012-ChalermsookCEL #algorithm #approximate #concurrent
Approximation algorithms and hardness of integral concurrent flow (PC, JC, AE, SL), pp. 689–708.
STOCSTOC-2012-KelnerMP #approximate #multi #performance #using
Faster approximate multicommodity flow using quadratically coupled flows (JAK, GLM, RP), pp. 1–18.
STOCSTOC-2012-Vegh #algorithm #low cost #polynomial #problem
Strongly polynomial algorithm for a class of minimum-cost flow problems with separable convex objectives (LAV), pp. 27–40.
FLOPSFLOPS-2012-RoseVS #data flow #hybrid #programming language #query
A Data Flow Language for Hybrid Query and Programming Languages (KHR, LV, NS), pp. 228–242.
FLOPSFLOPS-2012-TobitaTK #analysis #higher-order #model checking
Exact Flow Analysis by Higher-Order Model Checking (YT, TT, NK), pp. 275–289.
SEFMSEFM-2012-AmighiGGH #control flow #exception #graph #java #source code
Sound Control-Flow Graph Extraction for Java Programs with Exceptions (AA, PdCG, DG, MH), pp. 33–47.
SEFMSEFM-2012-VorobyovKS #approach #data flow #security
A Low-Overhead, Value-Tracking Approach to Information Flow Security (KV, PK, PS), pp. 367–381.
ICFPICFP-2012-StefanRBLMM #concurrent #data flow #termination
Addressing covert termination and timing channels in concurrent information flow systems (DS, AR, PB, AL, JCM, DM), pp. 201–214.
IFLIFL-2012-Fluet #analysis #control flow #system f
A Type- and Control-Flow Analysis for System F (MF), pp. 122–139.
ICPRICPR-2012-AhmedA #gesture #modelling #using
Flow Modeling and skin-based Gaussian pruning to recognize gestural actions using HMM (ORA, AAH), pp. 3488–3491.
ICPRICPR-2012-CarliniF
A Semi-Lagrangian scheme for area preserving flows (EC, RF), pp. 2029–2033.
ICPRICPR-2012-DuanHC #approach #image #segmentation
Shape prior regularized continuous max-flow approach to image segmentation (YD, WH, HC), pp. 2516–2519.
ICPRICPR-2012-GkamasCN #probability #problem
A probabilistic formulation of the optical flow problem (TG, GKC, CN), pp. 754–757.
ICPRICPR-2012-HuiC #robust #towards #using
Towards a robust hand-eye calibration using normal flows (TWH, RC), pp. 2545–2548.
ICPRICPR-2012-KisilevFWTN #image
DFlow and DField: New features for capturing object and image relationships (PK, DF, EW, AT, YN), pp. 3590–3593.
ICPRICPR-2012-MartinTT #gesture
Optical flow based Head Movement and Gesture Analyzer (OHMeGA) (SM, CT, MMT), pp. 605–608.
ICPRICPR-2012-PhanST #detection #symmetry #using
Text detection in natural scenes using Gradient Vector Flow-Guided symmetry (TQP, PS, CLT), pp. 3296–3299.
ICPRICPR-2012-SinghA #estimation
Exploiting ramp structures for improving optical flow estimation (AS, NA), pp. 2504–2507.
ICPRICPR-2012-YoonT #implementation
Bayesian implementation of a Lagrangian macroscopic traffic flow model (JWY, TTT), pp. 214–217.
SIGIRSIGIR-2012-EickhoffHVS #crowdsourcing #quality
Quality through flow and immersion: gamifying crowdsourced relevance assessments (CE, CGH, APdV, PS), pp. 871–880.
ECMFAECMFA-2012-BriandLL #data flow #diagrams #integration #sequence #state machine #testing #uml
Combining UML Sequence and State Machine Diagrams for Data-Flow Based Integration Testing (LCB, YL, YL), pp. 74–89.
ECOOPECOOP-2012-DeD #analysis #java #pointer #scalability
Scalable Flow-Sensitive Pointer Analysis for Java with Strong Updates (AD, DD), pp. 665–687.
LOPSTRLOPSTR-2012-MantelS #analysis #data flow #dependence
Types vs. PDGs in Information Flow Analysis (HM, HS), pp. 106–121.
QAPLQAPL-2012-YasuokaT #data flow #liveness #safety
Quantitative Information Flow as Safety and Liveness Hyperproperties (HY, TT), pp. 77–91.
POPLPOPL-2012-AustinF #data flow #information management #multi
Multiple facets for dynamic information flow (THA, CF), pp. 165–178.
ICSEICSE-2012-MitchellS #identification #process
Software process improvement through the identification and removal of project-level knowledge flow obstacles (SMM, CBS), pp. 1265–1268.
HPDCHPDC-2012-LiSBKS #in the cloud #named #pipes and filters
CAM: a topology aware minimum cost flow based resource manager for MapReduce applications in the cloud (ML, DS, ARB, AK, PS), pp. 211–222.
LCTESLCTES-2012-GerardGPP #array #compilation #composition #data flow #memory management #optimisation
A modular memory optimization for synchronous data-flow languages: application to arrays in a lustre compiler (LG, AG, CP, MP), pp. 51–60.
LCTESLCTES-2012-SbirleaZBCS #data flow #programming
Mapping a data-flow programming model onto heterogeneous platforms (ASS, YZ, ZB, JC, VS), pp. 61–70.
ICSTICST-2012-SiddiquiMK #analysis #constraints #data flow #lightweight #theorem proving
Lightweight Data-Flow Analysis for Execution-Driven Constraint Solving (JHS, DM, SK), pp. 91–100.
ISSTAISSTA-2012-SuiYX #analysis #detection #memory management #using
Static memory leak detection using full-sparse value-flow analysis (YS, DY, JX), pp. 254–264.
VMCAIVMCAI-2012-DimitrovaFKRS #data flow #model checking
Model Checking Information Flow in Reactive Systems (RD, BF, MK, MNR, HS), pp. 169–185.
VMCAIVMCAI-2012-KinderK #control flow #re-engineering
Alternating Control Flow Reconstruction (JK, DK), pp. 267–282.
CBSECBSE-2011-AbdellatifSRL #automation #component #data flow #distributed
Automating information flow control in component-based distributed systems (TA, LS, RR, YL), pp. 73–82.
CBSECBSE-2011-InoueH #analysis #component #data flow
A method for data-flow analysis of business components (TI, SH), pp. 51–60.
ASEASE-2011-WinbladhR #testing
Evaluating test selection strategies for end-user specified flow-based applications (KW, AR), pp. 400–403.
CASECASE-2011-ChuYSS #probability #simulation #validation
Validation of stochastic traffic flow model with microscopic traffic simulation (KCC, LY, RS, KS), pp. 672–677.
CASECASE-2011-GhomriA #hybrid #petri net #using
Continuous flow systems and control methodology using Hybrid Petri nets (LG, HA), pp. 419–424.
DACDAC-2011-Fey #analysis #data flow #multi
Orchestrated multi-level information flow analysis to understand SoCs (GF), pp. 284–285.
DACDAC-2011-HuangSH #power management
Progressive network-flow based power-aware broadcast addressing for pin-constrained digital microfluidic biochips (TWH, HYS, TYH), pp. 741–746.
DACDAC-2011-ObergHITSK #data flow
Information flow isolation in I2C and USB (JO, WH, AI, MT, TS, RK), pp. 254–259.
DACDAC-2011-RameshG #design #modelling #verification
Rigorous model-based design & verification flow for in-vehicle software (SR, AAG), pp. 13–16.
DATEDATE-2011-OnizawaMH #communication #monitoring
Interconnect-fault-resilient delay-insensitive asynchronous communication link based on current-flow monitoring (NO, AM, TH), pp. 776–781.
DATEDATE-2011-Struzyna #clustering #constraints
Flow-based partitioning and position constraints in VLSI placement (MS), pp. 607–612.
ICDARICDAR-2011-PhanSST #segmentation #video
A Gradient Vector Flow-Based Method for Video Character Segmentation (TQP, PS, BS, CLT), pp. 1024–1028.
ICDARICDAR-2011-RahnemoonfarA #documentation #image #using
Restoration of Arbitrarily Warped Historical Document Images Using Flow Lines (MR, AA), pp. 905–909.
SIGMODSIGMOD-2011-KllapiSTI #optimisation
Schedule optimization for data processing flows on the cloud (HK, ES, MMT, YEI), pp. 289–300.
ESOPESOP-2011-FournetP #compilation #data flow #security
Compiling Information-Flow Security to Minimal Trusted Computing Bases (CF, JP), pp. 216–235.
ESOPESOP-2011-GuhaSK #analysis #type system #using
Typing Local Control and State Using Flow Analysis (AG, CS, SK), pp. 256–275.
FASEFASE-2011-BendispostoL #analysis #automation
Automatic Flow Analysis for Event-B (JB, ML), pp. 50–64.
FASEFASE-2011-ErmelGLT #behaviour #consistency #control flow #functional #modelling
Modeling with Plausibility Checking: Inspecting Favorable and Critical Signs for Consistency between Control Flow and Functional Behavior (CE, JG, LL, GT), pp. 156–170.
FASEFASE-2011-ZhangZL #api #complexity #graph
Flow-Augmented Call Graph: A New Foundation for Taming API Complexity (QZ, WZ, MRL), pp. 386–400.
TACASTACAS-2011-TalupurH #model checking #using
Biased Model Checking Using Flows (MT, HH), pp. 239–253.
PLDIPLDI-2011-LiTOKCSH #data flow #hardware #named
Caisson: a hardware description language for secure information flow (XL, MT, JO, VK, FTC, TS, BH), pp. 109–120.
SASSAS-2011-BlackshearCSS #analysis #precise
The Flow-Insensitive Precision of Andersen’s Analysis in Practice (SB, BYEC, SS, MS), pp. 60–76.
SASSAS-2011-SchrammelJ #data flow #source code #verification
Logico-Numerical Abstract Acceleration and Application to the Verification of Data-Flow Programs (PS, BJ), pp. 233–248.
STOCSTOC-2011-ChristianoKMST #approximate #graph #performance
Electrical flows, laplacian systems, and faster approximation of maximum flow in undirected graphs (PC, JAK, AM, DAS, SHT), pp. 273–282.
STOCSTOC-2011-DinitzK #linear #source code
Directed spanners via flow-based linear programs (MD, RK), pp. 323–332.
STOCSTOC-2011-ItalianoNSW #algorithm #graph
Improved algorithms for min cut and max flow in undirected planar graphs (GFI, YN, PS, CWN), pp. 313–322.
ICALPICALP-v1-2011-ChanLLLT #energy #multi
Sleep Management on Multiple Machines for Energy and Flow Time (SHC, TWL, LKL, CML, HFT), pp. 219–231.
ICALPICALP-v2-2011-AlvimACP #data flow #difference #on the #privacy
On the Relation between Differential Privacy and Quantitative Information Flow (MSA, MEA, KC, CP), pp. 60–76.
ICALPICALP-v2-2011-CominettiCL
Existence and Uniqueness of Equilibria for Flows over Time (RC, JRC, OL), pp. 552–563.
ICFPICFP-2011-VardoulakisS #analysis #automaton
Pushdown flow analysis of first-class control (DV, OS), pp. 69–80.
CHICHI-2011-WongsuphasawatGPWTS #bibliography #named #sequence #visualisation
LifeFlow: visualizing an overview of event sequences (KW, JAGG, CP, TDW, MTM, BS), pp. 1747–1756.
HCIDUXU-v2-2011-Nakata #analysis #clustering #monitoring #usability
Clustering Analysis to Evaluate Usability of Work-Flow Systems and to Monitor Proficiency of Workers (TN), pp. 487–496.
HCIHCI-MIIE-2011-KimDCH #behaviour #energy
Assessing the Effect of a Power-Flow Gauge on Driving Behaviors Affecting Energy Consumption (SHK, HD, EC, HH), pp. 411–417.
CAiSECAiSE-2011-DornD #process #self
Supporting Dynamic, People-Driven Processes through Self-learning of Message Flows (CD, SD), pp. 657–671.
ICEISICEIS-v2-2011-Hu #enterprise
Business “Blood Circulation” — A Brief Introduction on the Construction Enterprise Cash Flow Management (YH), pp. 307–310.
ICMLICML-2011-Sohl-DicksteinBD #learning #probability
Minimum Probability Flow Learning (JSD, PB, MRD), pp. 905–912.
SEKESEKE-2011-BadriT #analysis #control flow #dependence #empirical #testing
Empirical Analysis for Investigating the Effect of Control Flow Dependencies on Testability of Classes (MB, FT), pp. 475–480.
OOPSLAOOPSLA-2011-AdamsKMMCD #polynomial
Flow-sensitive type recovery in linear-log time (MDA, AWK, JM, MM, AC, RKD), pp. 483–498.
PADLPADL-2011-PetricekS #concurrent #control flow #named #parallel #programming
Joinads: A Retargetable Control-Flow Construct for Reactive, Parallel and Concurrent Programming (TP, DS), pp. 205–219.
POPLPOPL-2011-PrabhuRMH #analysis #named
EigenCFA: accelerating flow analysis with GPUs (TP, SR, MM, MWH), pp. 511–522.
SACSAC-2011-Sanchez-GonzalezRGC #complexity #control flow #metric #modelling #towards
Towards thresholds of control flow complexity measures for BPMN models (LSG, FR, FG, JC), pp. 1445–1450.
SACSAC-2011-SteigerHS #constraints #network #performance
An efficient generic network flow constraint (RS, WJvH, RS), pp. 893–900.
ESEC-FSEESEC-FSE-2011-LiCK #analysis #performance #points-to #using
Boosting the performance of flow-sensitive points-to analysis using value flow (LL, CC, NK), pp. 343–353.
CCCC-2011-RimsadP #analysis #source code
Tainted Flow Analysis on e-SSA-Form Programs (AR, Md, FMQP), pp. 124–143.
CGOCGO-2011-HardekopfL #analysis #pointer
Flow-sensitive pointer analysis for millions of lines of code (BH, CL), pp. 289–298.
HPCAHPCA-2011-FungA #concurrent #control flow #performance #thread
Thread block compaction for efficient SIMT control flow (WWLF, TMA), pp. 25–36.
HPDCHPDC-2011-SaadR #distributed #framework #memory management #named #performance #transaction
HyFlow: a high performance distributed software transactional memory framework (MMS, BR), pp. 265–266.
LICSLICS-2011-Marion #analysis #complexity #type system
A Type System for Complexity Flow Analysis (JYM), pp. 123–132.
RTARTA-2011-KochemsO #analysis #functional #linear #reachability #using
Improved Functional Flow and Reachability Analyses Using Indexed Linear Tree Grammars (JK, CHLO), pp. 187–202.
ASEASE-2010-Letarte #analysis #graph #interprocedural #model checking #precise #representation
Model checking graph representation of precise boolean inter-procedural flow analysis (DL), pp. 511–516.
CASECASE-2010-ParkM #evaluation #performance
Performance evaluation of deterministic flow lines: Redundant modules and application to semiconductor manufacturing equipment (KP, JRM), pp. 45–50.
DACDAC-2010-ChoRXP #network #using
History-based VLSI legalization using network flow (MC, HR, HX, RP), pp. 286–291.
DACDAC-2010-ChouMM #design #embedded #experience
Find your flow: increasing flow experience by designing “human” embedded systems (CLC, AMM, RM), pp. 619–620.
DACDAC-2010-NovoLFRC #data flow #finite #precise
Exploiting finite precision information to guide data-flow mapping (DN, ML, RF, PR, FC), pp. 248–253.
DACDAC-2010-ObergHITSK #analysis #data flow
Theoretical analysis of gate level information flow tracking (JO, WH, AI, MT, TS, RK), pp. 244–247.
DACDAC-2010-PashaDS #architecture #generative #power management
A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking (MAP, SD, OS), pp. 693–698.
DATEDATE-2010-CongHJ #algorithm #behaviour #pattern matching #pattern recognition #recognition #synthesis
A generalized control-flow-aware pattern recognition algorithm for behavioral synthesis (JC, HH, WJ), pp. 1255–1260.
DATEDATE-2010-CoskunARBM #3d #architecture #energy
Energy-efficient variable-flow liquid cooling in 3D stacked architectures (AKC, DA, TSR, TB, BM), pp. 111–116.
DATEDATE-2010-JafariLJY
Optimal regulation of traffic flows in networks-on-chip (FJ, ZL, AJ, MHY), pp. 1621–1624.
DATEDATE-2010-PerezSF #data flow #graph #optimisation #relational
Optimizing Data-Flow Graphs with min/max, adding and relational operations (JP, PS, VF), pp. 1361–1364.
DATEDATE-2010-SeiculescuMBM
A method to remove deadlocks in Networks-on-Chips with Wormhole flow control (CS, SM, LB, GDM), pp. 1625–1628.
SIGMODSIGMOD-2010-Kleinberg #network #online
The flow of on-line information in global networks (JMK), pp. 1–2.
ESOPESOP-2010-AmtoftHR #array #automation #certification #contract #data flow #precise #reasoning #source code #verification
Precise and Automated Contract-Based Reasoning for Verification and Certification of Information Flow Properties of Programs with Arrays (TA, JH, ER), pp. 43–63.
ESOPESOP-2010-SwamyCC #data flow #policy
Enforcing Stateful Authorization and Information Flow Policies in Fine (NS, JC, RC), pp. 529–549.
ESOPESOP-2010-VardoulakisS #analysis #approach #control flow #named
CFA2: A Context-Free Approach to Control-Flow Analysis (DV, OS), pp. 570–589.
FoSSaCSFoSSaCS-2010-CassezMZ #complexity #data flow #security
The Complexity of Synchronous Notions of Information Flow Security (FC, RvdM, CZ), pp. 282–296.
CSMRCSMR-2010-LiuM #analysis #case study #data flow
Static Information Flow Analysis with Handling of Implicit Flows and a Study on Effects of Implicit Flows vs Explicit Flows (YL, AM), pp. 146–155.
ICSMEICSM-2010-LiQJW #automation #generative #graph #testing
Automatic test case selection and generation for regression testing of composite service based on extensible BPEL flow graph (BL, DQ, SJ, DW), pp. 1–10.
SASSAS-2010-SchrijversM #data flow #strict
Strictness Meets Data Flow (TS, AM), pp. 439–454.
STOCSTOC-2010-Madry #algorithm #approximate #graph #multi #performance #problem
Faster approximation schemes for fractional multicommodity flow problems via dynamic graph algorithms (AM), pp. 121–130.
ICALPICALP-v2-2010-DuncanP #quantum
Rewriting Measurement-Based Quantum Computations with Generalised Flow (RD, SP), pp. 285–296.
SEFMSEFM-2010-LeuxnerSS #formal method
A Formal Model for Work Flows (CL, WS, BS), pp. 135–144.
SEFMSEFM-2010-MassinkLBH #algebra #analysis #approach #process #scalability
A Scalable Fluid Flow Process Algebraic Approach to Emergency Egress Analysis (MM, DL, AB, MDH), pp. 169–180.
SFMSFM-2010-MalacariaH #data flow #security
Information Theory and Security: Quantitative Information Flow (PM, JH), pp. 87–134.
ICFPICFP-2010-HoldermansH #analysis #higher-order #polymorphism
Polyvariant flow analysis with higher-ranked polymorphic types and higher-order effect operators (SH, JH), pp. 63–74.
IFLIFL-2010-BrownLBH #algebra
Improving Your CASH Flow: The Computer Algebra SHell (CB, HWL, JB, KH), pp. 169–184.
CHICHI-2010-KuznetsovP #low cost #named #persuasion
UpStream: motivating water conservation with low-cost water flow sensing and persuasive displays (SK, EP), pp. 1851–1860.
ICEISICEIS-J-2010-BohmHL10a #clustering #multi #optimisation #queue
Multi-flow Optimization via Horizontal Message Queue Partitioning (MB, DH, WL), pp. 31–47.
CIKMCIKM-2010-SayyadiEHR #challenge #personalisation #ranking #social #social media
Challenges in personalized authority flow based ranking of social media (HS, JE, VH, LR), pp. 1409–1412.
CIKMCIKM-2010-WeberJ #data flow
Demographic information flows (IW, AJ), pp. 1521–1524.
ECIRECIR-2010-DaiDW #mining #topic
Mining Neighbors’ Topicality to Better Control Authority Flow (ND, BDD, YW), pp. 653–657.
ICPRICPR-2010-ChetverikovM #case study #component #image #metric
An Experimental Study of Image Components and Data Metrics for Illumination-Robust Variational Optical Flow (DC, JM), pp. 1694–1697.
ICPRICPR-2010-DoshiB #detection
Detecting Vorticity in Optical Flow of Fluids (AD, AGB), pp. 2118–2121.
ICPRICPR-2010-EscolanoLH #complexity #network
Heat Flow-Thermodynamic Depth Complexity in Networks (FE, MAL, ERH), pp. 1578–1581.
ICPRICPR-2010-HuangCWC #modelling #probability
Probabilistic Modeling of Dynamic Traffic Flow across Non-overlapping Camera Views (CH, WcC, SJW, JHC), pp. 3332–3335.
ICPRICPR-2010-JiangZWZ
Distinguishing Patients with Gastritis and Cholecystitis from the Healthy by Analyzing Wrist Radial Arterial Doppler Blood Flow Signals (XJ, DZ, KW, WZ), pp. 2492–2495.
ICPRICPR-2010-KeuperBRPH #3d #robust
Mean Shift Gradient Vector Flow: A Robust External Force Field for 3D Active Surfaces (MK, HB, OR, JP, PH), pp. 2784–2787.
ICPRICPR-2010-MochizukiKISI #energy
An Iterative Method for Superresolution of Optical Flow Derived by Energy Minimisation (YM, YK, AI, TS, TI), pp. 2270–2273.
ICPRICPR-2010-OnishiY #visualisation
Visualization of Customer Flow in an Office Complex over a Long Period (MO, IY), pp. 1747–1750.
ICPRICPR-2010-OzturkYA #detection
Detecting Dominant Motion Flows in Unstructured/Structured Crowd Scenes (OO, TY, KA), pp. 3533–3536.
ICPRICPR-2010-WartakB #estimation #using
Optical Flow Estimation Using Diffusion Distances (SW, AGB), pp. 189–192.
ICPRICPR-2010-WidhalmB #learning
Learning Major Pedestrian Flows in Crowded Scenes (PW, NB), pp. 4064–4067.
ICPRICPR-2010-WuJW #adaptation #parametricity
Adaptive Diffusion Flow for Parametric Active Contours (YW, YJ, YW), pp. 2788–2791.
ICPRICPR-2010-XuCM #segmentation
An Improved Fluid Vector Flow for Cavity Segmentation in Chest Radiographs (TX, IC, MKM), pp. 3376–3379.
ICPRICPR-2010-XuHW #similarity #using
Rectifying Non-Euclidean Similarity Data Using Ricci Flow Embedding (WX, ERH, RCW), pp. 3324–3327.
KMISKMIS-2010-RiosRR #modelling #process #project management
Modeling Knowledge Flows in Software Project Management Processes (BLFR, SLGR, OMRE), pp. 213–217.
SIGIRSIGIR-2010-BordinoCDG #graph #query #similarity
Query similarity by projecting the query-flow graph (IB, CC, DD, AG), pp. 515–522.
SIGIRSIGIR-2010-LeeLSR #precise #retrieval #sentiment #using
High precision opinion retrieval using sentiment-relevance flows (SWL, JTL, YIS, HCR), pp. 817–818.
OOPSLAOOPSLA-2010-HerzeelC #control flow #interactive #parallel #recursion
Dynamic parallelization of recursive code: part 1: managing control flow interactions with the continuator (CH, PC), pp. 377–396.
POPLPOPL-2010-BrobergS #data flow #named
Paralocks: role-based information flow control and beyond (NB, DS), pp. 431–444.
SACSAC-2010-JiCW #scalability #simulation
A simulation of large-scale groundwater flow on CUDA-enabled GPUs (XJ, TC, QW), pp. 2402–2403.
SACSAC-2010-LlorensOST #algorithm #control flow #graph
An algorithm to generate the context-sensitive synchronized control flow graph (ML, JO, JS, ST), pp. 2144–2148.
SACSAC-2010-TangJ #c++ #concept #optimisation
Generic flow-sensitive optimizing transformations in C++ with concepts (XT, JJ), pp. 2111–2118.
FSEFSE-2010-WuLWXLLGGZZ #data flow
Language-based replay via data flow cut (MW, FL, XW, ZX, HL, XL, ZG, HG, LZ, ZZ), pp. 197–206.
CGOCGO-2010-YuXHFZ #analysis #pointer #scalability
Level by level: making flow- and context-sensitive pointer analysis scalable for millions of lines of code (HY, JX, WH, XF, ZZ), pp. 218–229.
OSDIOSDI-2010-AttariyanF #analysis #automation #data flow #information management
Automating Configuration Troubleshooting with Dynamic Information Flow Analysis (MA, JF), pp. 237–250.
OSDIOSDI-2010-EnckGCCJMS #data flow #monitoring #named #privacy #realtime #smarttech
TaintDroid: An Information-Flow Tracking System for Realtime Privacy Monitoring on Smartphones (WE, PG, BGC, LPC, JJ, PM, AS), pp. 393–407.
CAVCAV-2010-Malacaria #data flow #question #theory and practice
Quantitative Information Flow: From Theory to Practice? (PM), pp. 20–22.
ICTSSICTSS-2010-PielGG #component #data flow #integration #scalability #testing
Built-In Data-Flow Integration Testing in Large-Scale Component-Based Systems (ÉP, AGS, HGG), pp. 79–94.
ISSTAISSTA-2010-BaoZLZX #analysis #data flow #dependence #information management #strict
Strict control dependence and its effect on dynamic information flow analyses (TB, YZ, ZL, XZ, DX), pp. 13–24.
LICSLICS-2010-AlvimAP #data flow #probability
Probabilistic Information Flow (MSA, MEA, CP), pp. 314–321.
LICSLICS-2010-GuglielmiGS #logic
Breaking Paths in Atomic Flows for Classical Logic (AG, TG, LS), pp. 284–293.
CASECASE-2009-Morrison #clustering #modelling #process #tool support
Regular flow line models for semiconductor cluster tools: A case of lot dependent process times (JRM), pp. 561–566.
DACDAC-2009-AyalaAB #analysis #data flow
Thermal-aware data flow analysis (JLA, DA, PB), pp. 613–614.
DACDAC-2009-JavaidP #design #multi #pipes and filters
A design flow for application specific heterogeneous pipelined multiprocessor systems (HJ, SP), pp. 250–253.
DACDAC-2009-LuZSZ #algorithm #manycore #parallel
Multicore parallel min-cost flow algorithm for CAD applications (YL, HZ, LS, XZ), pp. 832–837.
DACDAC-2009-YanW #network
A correct network flow model for escape routing (TY, MDFW), pp. 332–335.
DATEDATE-2009-BolzaniCMMP #concurrent #design #industrial #power management
Enabling concurrent clock and power gating in an industrial design flow (LMVB, AC, AM, EM, MP), pp. 334–339.
DATEDATE-2009-Gomez-PradoRCGB #data flow #graph #hardware #implementation #optimisation
Optimizing data flow graphs to minimize hardware implementation (DGP, QR, MJC, JG, EB), pp. 117–122.
DATEDATE-2009-LuGUH #approach #development #novel
A novel approach to entirely integrate Virtual Test into test development flow (PL, DG, GU, KH), pp. 797–802.
DATEDATE-2009-LuMJBWH #communication
Flow regulation for on-chip communication (ZL, MM, AJ, ACB, PvdW, TH), pp. 578–581.
DATEDATE-2009-OetjensGGN #automation #hardware #process
An automated flow for integrating hardware IP into the automotive systems engineering process (JHO, RG, JG, WN), pp. 1196–1201.
DATEDATE-2009-WangKABZ #automation #design #energy
An automated design flow for vibration-based energy harvester systems (LW, TJK, BMAH, SPB, DZ), pp. 1391–1396.
DRRDRR-2009-MeilenderB #algorithm #documentation #segmentation
Segmentation of continuous document flow by a modified backward-forward algorithm (TM, AB), pp. 1–10.
FASEFASE-2009-JurackLMTW #diagrams #process
Object Flow Definition for Refined Activity Diagrams (SJ, LL, KM, GT, GW), pp. 49–63.
FoSSaCSFoSSaCS-2009-Smith #data flow #on the
On the Foundations of Quantitative Information Flow (GS), pp. 288–302.
PEPMPEPM-2009-FradeSU #analysis #bidirectional #data flow
Bidirectional data-flow analyses, type-systematically (MJF, AS, TU), pp. 141–150.
PLDIPLDI-2009-ChughMJL #data flow #javascript #staged
Staged information flow for javascript (RC, JAM, RJ, SL), pp. 50–62.
PLDIPLDI-2009-GulwaniJK #analysis #bound #control flow #invariant #refinement
Control-flow refinement and progress invariants for bound analysis (SG, SJ, EK), pp. 375–385.
PLDIPLDI-2009-LivshitsNRB #data flow #named #problem #specification
Merlin: specification inference for explicit information flow problems (VBL, AVN, SKR, AB), pp. 75–86.
PLDIPLDI-2009-RoyPBMW #data flow #distributed #fine-grained #named
Laminar: practical fine-grained decentralized information flow control (IR, DEP, MDB, KSM, EW), pp. 63–74.
PLDIPLDI-2009-XuAMRS #profiling #runtime
Go with the flow: profiling copies to find runtime bloat (G(X, MA, NM, AR, GS), pp. 419–430.
SASSAS-2009-NiedzielskiRGP #bound #constraints #control flow
A Verifiable, Control Flow Aware Constraint Analyzer for Bounds Check Elimination (DN, JvR, AG, KP), pp. 137–153.
STOCSTOC-2009-ChadhaGKM #algorithm
A competitive algorithm for minimizing weighted flow time on unrelatedmachines with speed augmentation (JSC, NG, AK, VNM), pp. 679–684.
STOCSTOC-2009-ChambersEN
Homology flows, cohomology cuts (EWC, JE, AN), pp. 273–282.
DLTDLT-2009-CampanoniC #control flow #graph
Traces of Control-Flow Graphs (SC, SCR), pp. 156–169.
ICALPICALP-v1-2009-LamLTTW #energy #performance
Sleep with Guilt and Work Faster to Minimize Flow Plus Energy (TWL, LKL, HFT, IKKT, PWHW), pp. 665–676.
ICALPICALP-v1-2009-MastrolilliS #bound #scheduling
Improved Bounds for Flow Shop Scheduling (MM, OS), pp. 677–688.
ICALPICALP-v2-2009-AzarMMPS #adaptation #network
Maximum Bipartite Flow in Networks with Adaptive Channel Width (YA, AM, TM, DP, AS), pp. 351–362.
FMFM-2009-HarrisKCJR #bound #data flow #process #verification
Verifying Information Flow Control over Unbounded Processes (WRH, NK, SC, SJ, TWR), pp. 773–789.
ICFPICFP-2009-MidtgaardJ #abstract interpretation #analysis #control flow
Control-flow analysis of function calls and returns by abstract interpretation (JM, TPJ), pp. 287–298.
HCIHCI-VAD-2009-KobayashiST #named #video
ImproV: A System for Improvisational Construction of Video Processing Flow (AK, BS, JT), pp. 534–542.
HCIHCI-VAD-2009-ParkH #comprehension #game studies #online
Understanding Online Game Addiction: Connection between Presence and Flow (SP, HSH), pp. 378–386.
HCIOCSC-2009-FaiolaS #experience #human-computer #interactive
Flow Experience in Second Life: The Impact of Telepresence on Human-Computer Interaction (AF, OVS), pp. 574–583.
CAiSECAiSE-2009-TrckaAS #anti #data flow #fault #workflow
Data-Flow Anti-patterns: Discovering Data-Flow Errors in Workflows (NT, WMPvdA, NS), pp. 425–439.
CIKMCIKM-2009-BaragliaCDNPS #graph #query
Aging effects on query flow graphs for query suggestion (RB, CC, DD, FMN, RP, FS), pp. 1947–1950.
KDDKDD-2009-SatuluriP #clustering #community #graph #probability #scalability #using
Scalable graph clustering using stochastic flows: applications to community discovery (VS, SP), pp. 737–746.
KDDKDD-2009-ZhangFPGS #streaming #towards
Toward autonomic grids: analyzing the job flow with affinity streaming (XZ, CF, JP, CGR, MS), pp. 987–996.
SEKESEKE-2009-LiuC #analysis #composition #data flow #testing #web #web service
Data Flow Analysis and Testing for Web Service Compositions Based on WS-BPEL (CHL, SLC), pp. 306–311.
SIGIRSIGIR-2009-SeoJ #graph #precise #retrieval #using
High precision retrieval using relevance-flow graph (JS, JJ), pp. 694–695.
TOOLSTOOLS-EUROPE-2009-LienhardFN #debugging
Flow-Centric, Back-in-Time Debugging (AL, JF, ON), pp. 272–288.
QAPLQAPL-2009-MuC #abstraction #data flow
An Interval-based Abstraction for Quantifying Information Flow (CM, DC), pp. 119–141.
POPLPOPL-2009-BrunelDHLM #logic #model checking #using
A foundation for flow-based program matching: using temporal logic and model checking (JB, DD, RRH, JLL, GM), pp. 114–126.
POPLPOPL-2009-HardekopfL #analysis #pointer
Semi-sparse flow-sensitive pointer analysis (BH, CL), pp. 226–238.
SACSAC-2009-KimHJJY #data flow #network #scalability #social #visualisation
Visualization of information flows in a very large social network (SGK, HH, KJ, HJ, HYY), pp. 1841–1842.
SACSAC-2009-MendesPDB #ad hoc #execution #named #process #specification #web #web service
WebFlowAH: an environment for ad-hoc specification and execution of web services-based processes (RM, PFP, FCD, TVB), pp. 692–693.
ESEC-FSEESEC-FSE-2009-AbadiEF #control flow #slicing
Improving slice accuracy by compression of data and control flow paths (AA, RE, YAF), pp. 223–232.
ESEC-FSEESEC-FSE-2009-MeiCT #data flow #testing
Data flow testing of service choreography (LM, WKC, THT), pp. 151–160.
LDTALDTA-2008-Nilsson-NymanHME09 #analysis #declarative #java #source code
Declarative Intraprocedural Flow Analysis of Java Source Code (ENN, GH, EM, TE), pp. 155–171.
ASPLOSASPLOS-2009-TiwariWMMCS #data flow
Complete information flow tracking from the gates up (MT, HMGW, BM, SM, FTC, TS), pp. 109–120.
HPCAHPCA-2009-MichelogiannakisBD #network
Elastic-buffer flow control for on-chip networks (GM, JDB, WJD), pp. 151–162.
LCTESLCTES-2009-FidgeC #analysis #data flow #hardware
Integrating hardware and software information flow analyses (CJF, DC), pp. 157–166.
SOSPSOSP-2009-YipWZK #data flow #security
Improving application security with data flow assertions (AY, XW, NZ, MFK), pp. 291–304.
FATESTestCom-FATES-2009-NunesHM #automation #data flow #fault tolerance #generative #named #testing
OConGraX — Automatically Generating Data-Flow Test Cases for Fault-Tolerant Systems (PRFN, SH, ACVdM), pp. 229–234.
VMCAIVMCAI-2009-KinderZV #abstract interpretation #control flow #framework #re-engineering
An Abstract Interpretation-Based Framework for Control Flow Reconstruction from Binaries (JK, FZ, HV), pp. 214–228.
CASECASE-2008-Morrison #evolution
Flow lines with regular service times: Evolution of delay, state dependent failures and semiconductor wafer fabrication (JRM), pp. 247–252.
CASECASE-2008-WangSZF #automation #image
Automatic tracing of blood flow velocity in pulsed Doppler images (ZWW, GGS, MZ, TF), pp. 218–222.
CASECASE-2008-WitrantJ #mining #modelling
Air flow modeling in deepwells: Application to mining ventilation (EW, KHJ), pp. 845–850.
DACDAC-2008-GolsonC #implementation #physics #theory and practice
Flow engineering for physical implementation: theory and practice (SG, PC), p. 1.
DACDAC-2008-HsuW #algorithm #memory management #network #power management
A generalized network flow based algorithm for power-aware FPGA memory mapping (TYH, TCW), pp. 30–33.
DACDAC-2008-ReshadiGG #case study #design #internet #protocol
C-based design flow: a case study on G.729A for voice over internet protocol (VoIP) (MR, BG, DG), pp. 72–75.
DACDAC-2008-UrardMGC #equivalence
Leveraging sequential equivalence checking to enable system-level to RTL flows (PU, AM, RG, NC), pp. 816–821.
DATEDATE-2008-BeckerHEHSL #architecture #communication #design
Design Flows, Communication Based Design and Architectures in Automotive Electronic Systems (JB, MH, RE, AH, WS, VL).
DATEDATE-2008-Brand #design #manycore #optimisation
Optimization of Design Flows for Multi-Core x86 Microprocessors in 45 and 32nm Technologies under Productivity Considerations (HJB), pp. 938–939.
DATEDATE-2008-CongX #network
Simultaneous FU and Register Binding Based on Network Flow Method (JC, JX), pp. 1057–1062.
DATEDATE-2008-GhamarianGBS #analysis #data flow #graph #parametricity #throughput
Parametric Throughput Analysis of Synchronous Data Flow Graphs (AHG, MG, TB, SS), pp. 116–121.
DATEDATE-2008-HooverB #network
Synthesizing Synchronous Elastic Flow Networks (GH, FB), pp. 306–311.
DATEDATE-2008-KruijtzerWKSEMHAPV #industrial #integration #standard
Industrial IP Integration Flows based on IP-XACT Standards (WK, PvdW, EAdK, JS, WE, AM, SH, CA, SdP, EV), pp. 32–37.
DATEDATE-2008-KwonHYMCE #communication
An Open-Loop Flow Control Scheme Based on the Accurate Global Information of On-Chip Communication (WCK, SMH, SY, BM, KMC, SKE), pp. 1244–1249.
DATEDATE-2008-MelaniBMLDF #monitoring
Hot Wire Anemometric MEMS Sensor for Water Flow Monitoring (MM, LB, MDM, PL, FD, LF), pp. 342–347.
DATEDATE-2008-NeumannSBN #architecture #design #embedded #flexibility
Design flow for embedded FPGAs based on a flexible architecture template (BN, TvS, HB, TGN), pp. 56–61.
DATEDATE-2008-WeinbergerBB #design #modelling #petri net #process #verification #workflow
Application of Workflow Petri Nets to Modeling of Formal Verification Processes in Design Flow of Digital Integrated Circuits (KW, SB, RB), pp. 937–938.
HTHT-2008-ChoudhurySJS #communication #predict #social #using
Dynamic prediction of communication flow using social context (MDC, HS, AJ, DDS), pp. 49–54.
HTHT-2008-Kleinberg #data flow #process #social
Link structures, information flow, and social processes (JMK), pp. 3–4.
HTHT-2008-Recuero #case study #data flow #social
Information flows and social capital in weblogs: a case study in the brazilian blogosphere (RdCR), pp. 97–106.
PODSPODS-2008-LiuPRY #algorithm #problem
A generic flow algorithm for shared filter ordering problems (ZL, SP, AR, HY), pp. 79–88.
ICSMEICSM-2008-KinneerR #algorithm #control flow #java #maintenance #representation #type inference
Assessing the usefulness of type inference algorithms in representing Java control flow to support software maintenance tasks (AK, GR), pp. 127–136.
ICSMEICSM-2008-ZhangGLZ08a #aspectj #automation #debugging #named
AutoFlow: An automatic debugging tool for AspectJ software (SZ, ZG, YL, JZ), pp. 470–471.
PASTEPASTE-2008-LiuM #data flow #static analysis
Static analysis for inference of explicit information flow (YL, AM), pp. 50–56.
SCAMSCAM-2008-BoogerdM #analysis #data flow #on the #profiling
On the Use of Data Flow Analysis in Static Profiling (CB, LM), pp. 79–88.
SCAMSCAM-2008-StoneSB #analysis #automation #data flow #set
Automatic Determination of May/Must Set Usage in Data-Flow Analysis (AS, MS, SB), pp. 153–162.
PEPMPEPM-2008-LamMLW #data flow #information management #web
Securing web applications with static and dynamic information flow tracking (MSL, MCM, VBL, JW), pp. 3–12.
PLDIPLDI-2008-Kahlon #alias #analysis #named #pointer #scalability
Bootstrapping: a technique for scalable flow and context-sensitive pointer alias analysis (VK), pp. 249–259.
PLDIPLDI-2008-McCamantE #capacity #data flow #network
Quantitative information flow as network flow capacity (SM, MDE), pp. 193–205.
SASSAS-2008-HornM #analysis
Flow Analysis, Linearity, and PTIME (DVH, HGM), pp. 255–269.
SASSAS-2008-MidtgaardJ #abstract interpretation #analysis #approach #control flow
A Calculational Approach to Control-Flow Analysis by Abstract Interpretation (JM, TPJ), pp. 347–362.
SASSAS-2008-PerryW #control flow #fault #reasoning
Reasoning about Control Flow in the Presence of Transient Faults (FP, DW), pp. 332–346.
SASSAS-2008-PrabhuS #analysis #array #java #pointer #using
Field Flow Sensitive Pointer and Escape Analysis for Java Using Heap Array SSA (PP, PS), pp. 110–127.
SASSAS-2008-Simon #control flow
Splitting the Control Flow with Boolean Flags (AS), pp. 315–331.
STOCSTOC-2008-DaitchS #algorithm #approximate #performance
Faster approximate lossy generalized flow via interior point algorithms (SID, DAS), pp. 451–460.
STOCSTOC-2008-OrecchiaSVV #clustering #graph #on the
On partitioning graphs via single commodity flows (LO, LJS, UVV, NKV), pp. 461–470.
ICALPICALP-A-2008-MhallaP
Finding Optimal Flows Efficiently (MM, SP), pp. 857–868.
FMFM-2008-AmtoftHRRHG #contract #data flow #specification
Specification and Checking of Software Contracts for Conditional Information Flow (TA, JH, ER, R, JH, DG), pp. 229–245.
FMFM-2008-ArvindDK #design #verification
Getting Formal Verification into Design Flow (A, ND, MK), pp. 12–32.
ICFPICFP-2008-FischerK #data flow #declarative #source code #testing
Data-flow testing of declarative programs (SF, HK), pp. 201–212.
GT-VMTGT-VMT-2008-BottoniMWY #control flow
Composing control flow and formula rules for computing on grids (PB, NNM, YW, RY).
EDOCEDOC-2008-GuermoucheG #composition #data flow #towards
Toward Data Flow Oriented Services Composition (NG, CG), pp. 379–385.
ICEISICEIS-AIDSS-2008-SofokleousA #data flow #generative #search-based #testing
Dynamic Search-Based Test Data Generation Focused on Data Flow Paths (AAS, ASA), pp. 27–35.
ICEISICEIS-ISAS2-2008-TakakiSTIT #consistency #diagrams #quality #workflow
Quality Improvement of Workflow Diagrams Based on Passback Flow Consistency (OT, TS, IT, NI, KT), pp. 351–359.
CIKMCIKM-2008-BoldiBCDGV #graph
The query-flow graph: model and applications (PB, FB, CC, DD, AG, SV), pp. 609–618.
ICPRICPR-2008-Adkins-HillFZS #comparison #empirical #video
An empirical comparison of high definition video and regular video in optical flow computation (JPAH, JMF, YZ, JRS), pp. 1–4.
ICPRICPR-2008-EngelC #invariant
Scale-invariant medial features based on gradient vector flow fields (DE, CC), pp. 1–4.
ICPRICPR-2008-FakihZ
Structure from Motion: Combining features correspondences and optical flow (AHF, JSZ), pp. 1–4.
ICPRICPR-2008-HahnKW #3d #algorithm #estimation #using
Spatio-temporal 3D pose estimation and tracking of human body parts using the Shape Flow algorithm (MH, LK, CW), pp. 1–4.
ICPRICPR-2008-HuAS08a #learning #using
Learning motion patterns in crowded scenes using motion flow field (MH, SA, MS), pp. 1–5.
ICPRICPR-2008-IkizlerCD #recognition
Human action recognition with line and flow histograms (NI, RGC, PD), pp. 1–4.
ICPRICPR-2008-RebiereAD #image #using
Image mosaicing using local optical flow registration (NR, MFAF, FD), pp. 1–5.
ICPRICPR-2008-UlgesB #parametricity #segmentation
Segmentation by combining parametric optical flow with a color model (AU, TMB), pp. 1–4.
ICPRICPR-2008-WeiLNA #realtime
Real-time accurate optical flow-based motion sensor (ZW, DJL, BEN, JKA), pp. 1–4.
ICPRICPR-2008-ZhangGZ #detection #modelling
Complex background modeling and motion detection based on Texture Pattern Flow (BZ, YG, BZ), pp. 1–4.
SEKESEKE-2008-DasguptaEFKSV #design #fault tolerance #grid #standard #using
Design of a Fault-tolerant Job-flow Manager for Grid Environments Using Standard Technologies, Job-flow Patterns, and a Transparent Proxy (GD, OE, LF, SK, SMS, BV), pp. 814–819.
SEKESEKE-2008-Rodriguez-EliasMLV #process
Analyzing Manufacturing Process Knowledge Flows with KoFI (OMRE, ALM, JIL, AV), pp. 428–433.
SEKESEKE-2008-SouzaWCC
Flow Balancing Model for Air Traffc Flow Management (BBdS, LW, AMFC, VRRC), pp. 417–421.
SIGIRSIGIR-2008-NieD #topic
Separate and inequal: preserving heterogeneity in topical authority flows (LN, BDD), pp. 443–450.
ECMFAECMDA-FA-2008-WaheedIM #action semantics #analysis #data flow #execution #modelling #semantics #uml
Data Flow Analysis of UML Action Semantics for Executable Models (TW, MZZI, ZIM), pp. 79–93.
MODELSMoDELS-2008-HemelVV #modelling #named #object-oriented #web #workflow
WebWorkFlow: An Object-Oriented Workflow Modeling Language for Web Applications (ZH, RV, EV), pp. 113–127.
ECOOPECOOP-2008-CoelhoRGFCKSL #aspect-oriented #case study #exception #impact analysis
Assessing the Impact of Aspects on Exception Flows: An Exploratory Study (RC, AR, AG, FCF, NC, UK, AvS, CJPdL), pp. 207–234.
ECOOPECOOP-2008-HessellundS #analysis
Flow Analysis of Code Customizations (AH, PS), pp. 285–308.
POPLPOPL-2008-FournetR #data flow #encryption #implementation #security
Cryptographically sound implementations for typed information-flow security (CF, TR), pp. 323–335.
POPLPOPL-2008-Laud #encryption #on the
On the computational soundness of cryptographically masked flows (PL), pp. 337–348.
SACSAC-2008-AiresSM #using
Optical flow using color information: preliminary results (KRTA, AMS, AADM), pp. 1607–1611.
SACSAC-2008-BaveraB #analysis #bytecode #data flow #policy #type system
Type-based information flow analysis for bytecode languages with variable object field policies (FB, EB), pp. 347–351.
SACSAC-2008-CardenasG #architecture #comparison #network #performance
Performance comparison of Flow Aware Networking (FAN) architectures under GridFTP traffic (CC, MG), pp. 2079–2084.
SACSAC-2008-Ercan #comparison #hybrid #multi #performance #scheduling
A performance comparison of PSO and GA in scheduling hybrid flow-shops with multiprocessor tasks (MFE), pp. 1767–1771.
SACSAC-2008-RoyS #data flow #problem
Improving flow-insensitive solutions for non-separable dataflow problems (SR, YNS), pp. 211–216.
SACSAC-2008-RuiWFKZ #architecture #control flow
Control flow checking and recovering based on 8051 architecture (RG, WC, FL, KD, ZW), pp. 1550–1551.
FSEFSE-2008-KingJJS #data flow #effectiveness
Effective blame for information-flow violations (DK, TJ, SJ, SAS), pp. 250–260.
FSEFSE-2008-LaiCC #control flow #data flow #test coverage #testing
Inter-context control-flow and data-flow test adequacy criteria for nesC applications (ZL, SCC, WKC), pp. 94–104.
ICSEICSE-2008-MeiCT #data flow #testing #workflow
Data flow testing of service-oriented workflow applications (LM, WKC, THT), pp. 371–380.
ASPLOSASPLOS-2008-MysoreMAS #comprehension #data flow #visualisation
Understanding and visualizing full systems with data flow tomography (SM, BM, BA, TS), pp. 211–221.
CCCC-2008-KhedkerK #analysis #data flow #interprocedural #performance #precise #string
Efficiency, Precision, Simplicity, and Generality in Interprocedural Data Flow Analysis: Resurrecting the Classical Call Strings Method (UPK, BK), pp. 213–228.
CCCC-2008-LashariLM #architecture #control flow
Control Flow Emulation on Tiled SIMD Architectures (GL, OL, MM), pp. 100–115.
CGOCGO-2008-ThakurG #analysis #data flow
Comprehensive path-sensitive data-flow analysis (AVT, RG), pp. 55–63.
LCTESLCTES-2008-BiernackiCHP #code generation #composition #data flow
Clock-directed modular code generation for synchronous data-flow languages (DB, JLC, GH, MP), pp. 121–130.
LICSLICS-2008-Kozen #algebra #testing
Nonlocal Flow of Control and Kleene Algebra with Tests (DK), pp. 105–117.
ASEASE-2007-Abi-AntounWT #consistency #data flow #diagrams #implementation #modelling #security
Checking threat modeling data flow diagrams for implementation conformance and security (MAA, DW, PT), pp. 393–396.
ASEASE-2007-JiangS #control flow #debugging #predict #statistics
Context-aware statistical debugging: from bug predictors to faulty control flow paths (LJ, ZS), pp. 184–193.
ASEASE-2007-SantelicesH #data flow #monitoring #test coverage
Efficiently monitoring data-flow test coverage (RAS, MJH), pp. 343–352.
DACDAC-2007-AndrikosLPS
A Fully-Automated Desynchronization Flow for Synchronous Circuits (NA, LL, DP, CPS), pp. 982–985.
DACDAC-2007-HuangHPBGLyCCJ #case study #design
Simulink-Based MPSoC Design Flow: Case Study of Motion-JPEG and H.264 (KH, SIH, KP, LBdB, XG, LL, XY, SIC, LC, AAJ), pp. 39–42.
DACDAC-2007-KasuyaT #design #verification
Verification Methodologies in a TLM-to-RTL Design Flow (AK, TT), pp. 199–204.
DACDAC-2007-KillpackKC #feedback #metric
Silicon Speedpath Measurement and Feedback into EDA flows (KK, CVK, EC), pp. 390–395.
DACDAC-2007-Nagata #design #metric
On-Chip Measurements Complementary to Design Flow for Integrity in SoCs (MN), pp. 400–403.
DACDAC-2007-TibboelRKA #design #functional
System-Level Design Flow Based on a Functional Reference for HW and SW (WHT, VR, MK, DA), pp. 23–28.
DACDAC-2007-ZhangSJ #architecture #configuration management #design #hybrid #named #optimisation
NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture (WZ, LS, NKJ), pp. 300–305.
DATEDATE-2007-CiesielskiAGGB #data flow #diagrams #using
Data-flow transformations using Taylor expansion diagrams (MJC, SA, DGP, JG, EB), pp. 455–460.
DATEDATE-2007-DestroFP #refinement #thread
A smooth refinement flow for co-designing HW and SW threads (PD, FF, GP), pp. 105–110.
DATEDATE-2007-FummiPPQ #design #embedded #interactive #middleware
Interactive presentation: A middleware-centric design flow for networked embedded systems (FF, GP, RP, DQ), pp. 1048–1053.
DATEDATE-2007-HairionECS #design #safety
New safety critical radio altimeter for airbus and related design flow (DH, SE, EC, MS), pp. 684–688.
DATEDATE-2007-KumarHHC #configuration management #design #interactive #multi
Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip (AK, AH, JH, HC), pp. 117–122.
DATEDATE-2007-LinXZ #design #network
Design closure driven delay relaxation based on convex cost network flow (CL, AX, HZ), pp. 63–68.
DATEDATE-2007-LisselGG #design #industrial #perspective #verification
Introducing new verification methods into a company’s design flow: an industrial user’s point of view (RL, JG), pp. 689–694.
DATEDATE-2007-RenterghemDVVQ #compilation #development #using
Development of an ASIP enabling flows in ethernet access using a retargetable compilation flow (KVR, PD, DV, JV, XZQ), pp. 1418–1423.
DATEDATE-2007-RousseauMGMLDG #certification #configuration management #using
Enabling certification for dynamic partial reconfiguration using a minimal flow (BR, PM, DG, DM, JDL, FD, YG), pp. 983–988.
TACASTACAS-2007-ZhangHEJ #algorithm #performance #probability #simulation
Flow Faster: Efficient Decision Algorithms for Probabilistic Simulations (LZ, HH, FE, DNJ), pp. 155–169.
ICSMEICSM-2007-BernardiL #aspect-oriented #control flow #graph #interprocedural #maintenance
An Interprocedural Aspect Control Flow Graph to Support the Maintenance of Aspect Oriented Systems (MLB, GADL), pp. 435–444.
ICSMEICSM-2007-NagarajanGMZS #control flow
Matching Control Flow of Program Versions (VN, RG, MM, XZ, BDS), pp. 84–93.
PLDIPLDI-2007-CheremPR #analysis #detection #memory management #using
Practical memory leak detection using guarded value-flow analysis (SC, LP, RR), pp. 480–491.
PLDIPLDI-2007-ScherpelzLC #automation #semantics
Automatic inference of optimizer flow functions from semantic meanings (ERS, SL, CC), pp. 135–145.
SASSAS-2007-LerouxS #analysis #data flow
Accelerated Data-Flow Analysis (JL, GS), pp. 184–199.
STOCSTOC-2007-ChuzhoyK #polynomial #problem
Polynomial flow-cut gaps and hardness of directed cut problems (JC, SK), pp. 179–188.
STOCSTOC-2007-DonovanSVW #network
Degree-constrained network flows (PD, FBS, AV, GTW), pp. 681–688.
LATALATA-2007-KocholKSS #matrix #reduction
Reductions of matrices associated with nowhere-zero flows (MK, NK, SS, KS), pp. 297–306.
CEFPCEFP-2007-PlasmeijerAK #interactive #web
An Introduction to iTasks: Defining Interactive Work Flows for the Web (RP, PA, PWMK), pp. 1–40.
ICFPICFP-2007-HornM #analysis #complexity #control flow #precise
Relating complexity and precision in control flow analysis (DVH, HGM), pp. 85–96.
ICFPICFP-2007-PlasmeijerAK #execution #interactive #named #specification #web
iTasks: executable specifications of interactive work flow systems for the web (RP, PA, PWMK), pp. 141–152.
CHICHI-2007-TangC #data flow
An observational study on information flow during nurses’ shift change (CT, MSTC), pp. 219–228.
HCIDHM-2007-LiWW
The Effects of the False Vocal Fold Gaps in a Model of the Larynx on Pressures Distributions and Flows (SL, MW, SW), pp. 147–156.
HCIHCI-IPT-2007-YoshidaMS #interactive #mobile #using #visual notation
Mobile Magic Hand: Camera Phone Based Interaction Using Visual Code and Optical Flow (YY, KM, TS), pp. 513–521.
HCIHIMI-MTT-2007-SutoKH #case study #data flow #design
A Study of Information Flow Between Designers and Users Via Website Focused on Property of Hyper Links (HS, HK, HH), pp. 189–198.
HCIOCSC-2007-FaiolaV #experience #multi
Flow Experience of MUD Players: Investigating Multi-User Dimension Gamers from the USA (AF, AEV), pp. 324–333.
HCIOCSC-2007-Voiskounsky #experience
A Cross-Cultural Study of Flow Experience in the IT Environment: The Beginning (AEV), pp. 202–211.
ICEISICEIS-AIDSS-2007-Rodriguez-EliasMFVS #analysis #approach #design #identification #information management
Knowledge Flow Analysis to Identify Knowledge Needs for the Design of Knowledge Management Systems and Strategies — A Methodological Approach (OMRE, AIMG, JF, AV, JPS), pp. 492–497.
KDDKDD-2007-ShaparenkoJ #database #documentation
Information genealogy: uncovering the flow of ideas in non-hyperlinked document databases (BS, TJ), pp. 619–628.
ECOOPECOOP-2007-LinB #data flow #domain-specific language #named
DirectFlow: A Domain-Specific Language for Information-Flow Systems (CKL, APB), pp. 299–322.
QAPLQAPL-2007-PierroHW #analysis #data flow #on the #probability
On Probabilistic Techniques for Data Flow Analysis (ADP, CH, HW), pp. 59–77.
POPLPOPL-2007-Might #analysis #higher-order #source code
Logic-flow analysis of higher-order programs (MM), pp. 185–198.
REFSQREFSQ-2007-Winkler #data flow #empirical
Information Flow Between Requirement Artifacts. Results of an Empirical Study (SW0), pp. 232–246.
SACSAC-2007-BraghettoFP #control flow #process #specification #using
Using control-flow patterns for specifying business processes in cooperative environments (KRB, JEF, CP), pp. 1234–1241.
SACSAC-2007-ShinH #analysis #automation #comparison #difference #process
Comparison of two activity analyses for automatic differentiation: context-sensitive flow-insensitive vs. context-insensitive flow-sensitive (JS, PDH), pp. 1323–1329.
CCCC-2007-LeeRBM #constraints #control flow #graph #using
Correcting the Dynamic Call Graph Using Control-Flow Constraints (BL, KR, MDB, KSM), pp. 80–95.
CCCC-2007-ScholzB #analysis #composition #data flow #framework #using
A New Elimination-Based Data Flow Analysis Framework Using Annotated Decomposition Trees (BS, JB), pp. 202–217.
COCVCOCV-2007-GallardoJM #analysis #data flow #on the fly #verification
On-the-Fly Data Flow Analysis Based on Verification Technology (MdMG, CJ, PM), pp. 33–48.
LCTESLCTES-2007-ChenTCLYLL #compilation #distributed #embedded
Enabling compiler flow for embedded VLIW DSP processors with distributed register files (CKC, LHT, SCC, YJL, YPY, CHL, JKL), pp. 146–148.
SOSPSOSP-2007-KrohnYBCKKM #abstraction #data flow #standard
Information flow control for standard OS abstractions (MNK, AY, MZB, NC, MFK, EK, RM), pp. 321–334.
CASECASE-2006-ShrinivasR
Optimal advertisement-innovation mix for maximizing the discounted flow of profit (PS, NRSR), pp. 562–567.
CASECASE-2006-WangGL
Characteristics of Induced Potential of Electromagnetic Flow Meter (JW, CG, YL), pp. 150–155.
DACDAC-2006-OgrasM #predict
Prediction-based flow control for network-on-chip traffic (ÜYO, RM), pp. 839–844.
DACDAC-2006-ShethSM #design
The importance of adopting a package-aware chip design flow (KS, ES, JM), pp. 853–856.
DACDAC-2006-YangCTRC #design
An up-stream design auto-fix flow for manufacturability enhancement (JY, EC, CT, NR, MC), pp. 73–76.
DATEDATE-2006-LeupersKKP #configuration management #design #embedded #set #synthesis
A design flow for configurable embedded processors based on optimized instruction set extension synthesis (RL, KK, SK, MP), pp. 581–586.
DATEDATE-DF-2006-Daglio #design #embedded #verification
A complete and fully qualified design flow for verification of mixed-signal SoC with embedded flash memories (PD), pp. 94–99.
DATEDATE-DF-2006-OetjensGR #design #flexibility #rule-based #specification
Flexible specification and application of rule-based transformations in an automotive design flow (JHO, JG, WR), pp. 82–87.
PODSPODS-2006-CondonDHW #algorithm #pipes and filters #problem
Flow algorithms for two pipelined filter ordering problems (AC, AD, LH, NW), pp. 193–202.
VLDBVLDB-2006-GonzalezHL #analysis #multi #named
FlowCube: Constructuing RFID FlowCubes for Multi-Dimensional Analysis of Commodity Flows (HG, JH, XL), pp. 834–845.
ESOPESOP-2006-BrobergS #calculus #policy #towards
Flow Locks: Towards a Core Calculus for Dynamic Flow Policies (NB, DS), pp. 180–196.
TACASTACAS-2006-GuptaJ #comparison #control flow #effectiveness #fault #testing
An Experimental Comparison of the Effectiveness of Control Flow Based Testing Approaches on Seeded Faults (AG, PJ), pp. 365–378.
WCREWCRE-2006-Krinke #control flow #graph #mining
Mining Control Flow Graphs for Crosscutting Concerns (JK), pp. 334–342.
SASSAS-2006-AskarovHS
Cryptographically-Masked Flows (AA, DH, AS), pp. 353–369.
SASSAS-2006-PratikakisFH #reachability
Existential Label Flow Inference Via CFL Reachability (PP, JSF, MH), pp. 88–106.
STOCSTOC-2006-BansalCES #graph
A quasi-PTAS for unsplittable flow on line graphs (NB, AC, AE, BS), pp. 721–729.
STOCSTOC-2006-GargK
Minimizing average flow time on related machines (NG, AK), pp. 730–738.
STOCSTOC-2006-KhandekarRV #clustering #graph #using
Graph partitioning using single commodity flows (RK, SR, UVV), pp. 385–390.
ICALPICALP-v1-2006-BaierEHKSS #bound
Length-Bounded Cuts and Flows (GB, TE, AH, EK, HS, MS), pp. 679–690.
ICALPICALP-v1-2006-GairingMT #game studies #latency #linear
Routing (Un-) Splittable Flow in Games with Player-Specific Linear Latency Functions (MG, BM, KT), pp. 501–512.
ICALPICALP-v1-2006-GargK #algorithm
Better Algorithms for Minimizing Average Flow-Time on Related Machines (NG, AK), pp. 181–190.
SEFMSEFM-2006-MarconiPT #automation #composition #data flow #requirements #specification #web #web service
Specifying Data-Flow Requirements for the Automated Composition of Web Services (AM, MP, PT), pp. 147–156.
ICFPICFP-2006-MightS #analysis #garbage collection
Improving flow analyses via ΓCFA: abstract garbage collection and counting (MM, OS), pp. 13–25.
CSCWCSCW-2006-SiuIT #email
Going with the flow: email awareness and task management (NS, LI, AT), pp. 441–450.
SOFTVISSOFTVIS-2006-LiuV #animation #control flow #debugging #low level
Animation of control flow for low-level debugging (HL, FSV), pp. 157–158.
EDOCEDOC-2006-CaoJWQ #composition #named
ServiceFlow: QoS Based Service Composition in CGSP (HC, HJ, SW, LQ), pp. 453–458.
ICPRICPR-v1-2006-AdachiKO #estimation #fault #reliability
Reliability index of optical flow that considers error margin of matches and stabilizes camera movement estimation (EA, TK, NO), pp. 699–702.
ICPRICPR-v1-2006-AndradeBF06a #analysis #markov #modelling
Hidden Markov Models for Optical Flow Analysis in Crowds (ELA, SB, RBF), pp. 460–463.
ICPRICPR-v1-2006-AokiK #3d #detection
Detection of 3D-Flow by Characteristic of Convex-concave and Color (KA, HK), pp. 75–78.
ICPRICPR-v1-2006-YipW #identification
Identifying centers of circulating and spiraling flow patterns (CLY, KYW), pp. 769–772.
ICPRICPR-v2-2006-GongY #estimation #orthogonal #programming #using
Disparity Flow Estimation using Orthogonal Reliability-based Dynamic Programming (MG, YHY), pp. 70–73.
ICPRICPR-v2-2006-LuX #estimation
A PDE-Based Method For Optical Flow Estimation (ZL, WX), pp. 78–81.
ICPRICPR-v3-2006-DoshiB
Structural flow smoothing for shape interpolation (AD, AGB), pp. 11–14.
ICPRICPR-v3-2006-GuoL #image #multi #using
Multi-modality Image Registration Using Mutual Information Based on Gradient Vector Flow (YG, CCL), pp. 697–700.
ICPRICPR-v3-2006-VidholmSN #3d
Accelerating the Computation of 3D Gradient Vector Flow Fields (EV, PS, IN), pp. 677–680.
ICPRICPR-v3-2006-Wang06a #analysis #using
Abnormal Walking Gait Analysis Using Silhouette-Masked Flow Histograms (LW0), pp. 473–476.
SEKESEKE-2006-TylerS #automation #control flow #inheritance #monitoring
Automatic Monitoring of Control-flow Through Inheritance Hierarchies (BT, NS), pp. 355–358.
SIGIRSIGIR-2006-SongTLS #data flow #personalisation #recommendation
Personalized recommendation driven by information flow (XS, BLT, CYL, MTS), pp. 509–516.
OOPSLAOOPSLA-2006-BockischKHAM #control flow #performance #quantifier
Efficient control flow quantification (CB, SK, MH, MA, MM), pp. 125–138.
OOPSLAOOPSLA-2006-ChinCKP #approach #parametricity
A flow-based approach for variant parametric types (WNC, FC, SCK, CP), pp. 273–290.
LOPSTRLOPSTR-2006-MantelSK #data flow #proving #security #verification
Combining Different Proof Techniques for Verifying Information Flow Security (HM, HS, TK), pp. 94–110.
POPLPOPL-2006-AlurCM #calculus #fixpoint
A fixpoint calculus for local and global program flows (RA, SC, PM), pp. 153–165.
POPLPOPL-2006-AmtoftBB #data flow #logic #object-oriented #source code
A logic for information flow in object-oriented programs (TA, SB, AB), pp. 91–102.
POPLPOPL-2006-HuntS #on the #security
On flow-sensitive security types (SH, DS), pp. 79–90.
FSEFSE-2006-LuCT #approach #data flow #middleware #source code #testing
Testing context-aware middleware-centric programs: a data flow approach and an RFID-based experimentation (HL, WKC, THT), pp. 242–252.
CCCC-2006-LamprechtMS #analysis #data flow #model checking
Data-Flow Analysis as Model Checking Within the jABC (ALL, TMS, BS), pp. 101–104.
CCCC-2006-TokGL #analysis #data flow #interprocedural #performance #pointer
Efficient Flow-Sensitive Interprocedural Data-Flow Analysis in the Presence of Pointers (TBT, SZG, CL), pp. 17–31.
CCCC-2006-XueK #fresh look #problem
A Fresh Look at PRE as a Maximum Flow Problem (JX, JK), pp. 139–154.
CGOCGO-2006-BorinWWA #control flow #detection #fault
Software-Based Transparent and Comprehensive Control-Flow Error Detection (EB, CW, YW, GA), pp. 333–345.
HPCAHPCA-2006-IsciM
Phase characterization for power: evaluating control-flow-based and event-counter-based techniques (CI, MM), pp. 121–132.
LCTESLCTES-2006-SandbergEGL #analysis #performance #slicing
Faster WCET flow analysis by program slicing (CS, AE, JG, BL), pp. 103–112.
OSDIOSDI-2006-CastroCH #data flow
Securing Software by Enforcing Data-flow Integrity (MC, MC, TLH), pp. 147–160.
OSDIOSDI-2006-ZeldovichBKM #data flow
Making Information Flow Explicit in HiStar (NZ, SBW, EK, DM), pp. 263–278.
VMCAIVMCAI-2006-TanA #composition #control flow #logic
A Compositional Logic for Control Flow (GT, AWA), pp. 80–94.
CASECASE-2005-MillerSSLM #analysis #using
Biopsy preparation for flow analysis using microfabricated disaggregation blades (AKM, MLS, CAS, XL, SM), pp. 1–6.
DACDAC-2005-WassungZABH #design
Choosing flows and methodologies for SoC design (DW, YZ, MSA, MB, CH), p. 167.
DACDAC-2005-Zhu #analysis #pointer #scalability #towards
Towards scalable flow and context sensitive pointer analysis (JZ), pp. 831–836.
DATEDATE-2005-GoossensDGPRR #design #network #performance #verification
A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification (KG, JD, OPG, SGP, AR, ER), pp. 1182–1187.
DATEDATE-2005-HanlaiMJ #control flow #graph #memory management #optimisation #performance #using
Extended Control Flow Graph Based Performance Optimization Using Scratch-Pad Memory (PH, LM, JJ), pp. 828–829.
DATEDATE-2005-TiriV05a #design
A VLSI Design Flow for Secure Side-Channel Attack Resistant ICs (KT, IV), pp. 58–63.
ICSMEICSM-2005-MilanovaR #analysis #constraints #precise
Annotated Inclusion Constraints for Precise Flow Analysis (AM, BGR), pp. 187–196.
IWPCIWPC-2005-DearmanCF #control flow #data flow #representation #visual notation
Adding Control-Flow to a Visual Data-Flow Representation (DD, AC, MF), pp. 297–306.
PASTEPASTE-2005-RountevVR #analysis #control flow #diagrams #reverse engineering #sequence chart #uml
Static control-flow analysis for reverse engineering of UML sequence diagrams (AR, OV, MR), pp. 96–102.
SCAMSCAM-2005-BermudoKH #assembly #control flow #graph #re-engineering #source code
Control Flow Graph Reconstruction for Assembly Language Programs with Delayed Instructions (NB, AK, RNH), pp. 107–118.
PLDIPLDI-2005-AyersSMARW #control flow #distributed #fault #named #re-engineering
TraceBack: first fault diagnosis by reconstruction of distributed control flow (AA, RS, CM, AA, JR, EW), pp. 201–212.
SASSAS-2005-TerauchiA #data flow #problem #safety
Secure Information Flow as a Safety Problem (TT, AA), pp. 352–367.
STOCSTOC-2005-AwerbuchAE #scalability
Large the price of routing unsplittable flow (BA, YA, AE), pp. 57–66.
STOCSTOC-2005-ChekuriKS #multi #problem
Multicommodity flow, well-linked terminals, and routing problems (CC, SK, FBS), pp. 183–192.
STOCSTOC-2005-CryanDR #approximate #bound
Approximately counting integral flows and cell-bounded contingency tables (MC, MED, DR), pp. 413–422.
SOFTVISSOFTVIS-2005-CoxGR #data flow #parallel #source code #visual notation
Adding parallelism to visual data flow programs (PTC, SG, ARC), pp. 135–144.
ICEISICEIS-v3-2005-IngvaldsenGHP #enterprise #transaction
Revealing the Real Business Flows from Enterprise Systems Transactions (JEI, JAG, OAH, AP), pp. 254–259.
CIKMCIKM-2005-MetzlerBCMZ #data flow #metric #similarity
Similarity measures for tracking information flow (DM, YB, WBC, AM, JZ), pp. 517–524.
LSOLSO-2005-Schneider #perspective #process
Software Process Improvement from a FLOW Perspective (KS), pp. 82–86.
MLDMMLDM-2005-OhnishiI #analysis #component #detection #independence #using
Dominant Plane Detection Using Optical Flow and Independent Component Analysis (NO, AI), pp. 497–506.
SIGIRSIGIR-2005-MetzlerBCMZ #data flow #identification
The recap system for identifying information flow (DM, YB, WBC, AM, JZ), p. 678.
ECMFAECMDA-FA-2005-GarousiBL #analysis #control flow #diagrams #sequence chart #uml
Control Flow Analysis of UML 2.0 Sequence Diagrams (VG, LCB, YL), pp. 160–174.
POPLPOPL-2005-BruniMM #composition
Theoretical foundations for compensations in flow composition languages (RB, HCM, UM), pp. 209–220.
SACSAC-2005-RummelKT #data flow #testing #towards
Towards the prioritization of regression test suites with data flow information (MJR, GMK, AT), pp. 1499–1504.
ICSEICSE-2005-LeonMP #data flow #empirical #evaluation #testing
An empirical evaluation of test case filtering techniques based on exercising complex information flows (DL, WM, AP), pp. 412–421.
SPLCSPLC-2005-Wesselius #architecture #modelling #nondeterminism
Modeling Architectural Value: Cash Flow, Time and Uncertainty (JHW), pp. 89–95.
CCCC-2005-OlmosV #data flow #text-to-text
Composing Source-to-Source Data-Flow Transformations with Rewriting Strategies and Dependent Dynamic Rewrite Rules (KO, EV), pp. 204–220.
CGOCGO-2005-ShinHC #control flow #parallel
Superword-Level Parallelism in the Presence of Control Flow (JS, MWH, JC), pp. 165–175.
CADECADE-2005-DufayFM #data flow #information management #ml #privacy
Privacy-Sensitive Information Flow with JML (GD, APF, SM), pp. 116–130.
CSLCSL-2005-VIICH #control flow #distributed #logic
Distributed Control Flow with Classical Modal Logic (TMV, KC, RH), pp. 51–69.
LICSLICS-2005-WashburnW #data flow #parametricity #using
Generalizing Parametricity Using Information-flow (GW, SW), pp. 62–71.
ICTSSTestCom-2005-HongU #data flow #dependence #testing
Dependence Testing: Extending Data Flow Testing with Control Dependence (HSH, HU), pp. 23–39.
VMCAIVMCAI-2005-GenaimS #analysis #bytecode #data flow #java
Information Flow Analysis for Java Bytecode (SG, FS), pp. 346–362.
ASEASE-2004-BookG #automation #modelling
Modeling Web-Based Dialog Flows for Automatic Dialog Control (MB, VG), pp. 100–109.
DACDAC-2004-KappS #automation #behaviour #control flow #scheduling #synthesis
Automatic correct scheduling of control flow intensive behavioral descriptions in formal synthesis (KK, VKS), pp. 61–66.
DACDAC-2004-MoB #design
A timing-driven module-based chip design flow (FM, RKB), pp. 67–70.
DACDAC-2004-ZhangDRRC #performance #synthesis #towards
A synthesis flow toward fast parasitic closure for radio-frequency integrated circuits (GZ, EAD, RAR, RAR, LRC), pp. 155–158.
DATEDATE-DF-2004-AbrahamR #design #integration
Qualification and Integration of Complex I/O in SoC Design Flows (JA, GR), pp. 286–293.
DATEDATE-DF-2004-AitkenM #dependence #design
From Working Design Flow to Working Chips: Dependencies and Impacts of Methodology Decisions (RCA, FM), p. 2.
DATEDATE-DF-2004-BantasKL #design #modelling
An Inductance Modeling Flow Seamlessly Integrated in the RF IC Design Chain (SB, YK, AL), pp. 39–45.
DATEDATE-DF-2004-SchubertHGAN #design #evaluation
Evaluation of a Refinement-Driven SystemC™-Based Design Flow (TS, JH, JG, JEA, WN), pp. 262–267.
DATEDATE-v1-2004-GuptaDGN #control flow #design #synthesis
Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow (SG, ND, RG, AN), pp. 114–121.
DATEDATE-v1-2004-LeveugleA #fault #injection
Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow (RL, AA), pp. 590–595.
DATEDATE-v2-2004-DziriCWJ #component #design #integration #multi #validation
Unified Component Integration Flow for Multi-Processor SoC Design and Validation (MAD, WOC, FRW, AAJ), pp. 1132–1137.
ESOPESOP-2004-CacheraJPR #data flow #logic
Extracting a Data Flow Analyser in Constructive Logic (DC, TPJ, DP, VR), pp. 385–400.
ESOPESOP-2004-LeviB #analysis #control flow
A Control Flow Analysis for Safe and Boxed Ambients (FL, CB), pp. 188–203.
ICSMEICSM-2004-Balmas #data flow #graph #named #visualisation
DDFgraph: A Tool for Dynamic Data Flow Graphs Visualization (FB), p. 516.
SCAMSCAM-2004-NaumannULF #code generation #control flow
Control Flow Reversal for Adjoint Code Generation (UN, JU, AL, MWF), pp. 55–64.
WCREWCRE-2004-Harrold #analysis #control flow #source code
Static and Dynamic Analyses of Programs with Implicit Control Flow (MJH), p. 5.
WCREWCRE-2004-ParkKWY #analysis #behaviour #design pattern
A Static Reference Flow Analysis to Understand Design Pattern Behavior (CP, YK, CW, KY), pp. 300–301.
SASSAS-2004-AmtoftB #analysis #data flow #logic
Information Flow Analysis in Logical Form (TA, AB), pp. 100–115.
SASSAS-2004-SunBN #composition #constraints #data flow #object-oriented
Modular and Constraint-Based Information Flow Inference for an Object-Oriented Language (QS, AB, DAN), pp. 84–99.
STOCSTOC-2004-AroraRV #clustering #geometry #graph
Expander flows, geometric embeddings and graph partitioning (SA, SR, UVV), pp. 222–231.
STOCSTOC-2004-ChekuriGKK #multi #scheduling
Multi-processor scheduling to minimize flow time with epsilon resource augmentation (CC, AG, SK, AK), pp. 363–372.
STOCSTOC-2004-ChekuriKS #multi #problem
The all-or-nothing multicommodity flow problem (CC, SK, FBS), pp. 156–165.
STOCSTOC-2004-ChenKLRSV #bound #confluence #theorem
(Almost) tight bounds and existence theorems for confluent flows (JC, RDK, LL, RR, RS, AV), pp. 529–538.
STOCSTOC-2004-Obata #approximate #multi #theorem
Approximate max-integral-flow/min-multicut theorems (KO), pp. 539–545.
ICALPICALP-2004-FotakisKS
Selfish Unsplittable Flows (DF, SCK, PGS), pp. 593–605.
IFLIFL-2004-Gast #data flow #fault #ml
Explaining ML Type Errors by Data Flows (HG), pp. 72–89.
ICGTICGT-2004-Storey #development #visual notation
Improving Flow in Software Development Through Graphical Representations (MADS), p. 1.
CHICHI-2004-ViegasWD #visualisation
Studying cooperation and conflict between authors with history flow visualizations (FBV, MW, KD), pp. 575–582.
AdaSIGAda-2004-ChapmanH #analysis #data flow #modelling #safety #security
Enforcing security and safety models with an information flow analysis tool (RC, AH), pp. 39–46.
CAiSECAiSE-2004-KovseH #model transformation #named #workflow
MT-Flow — An Environment for Workflow-Supported Model Transformations in MDA (JK, TH), pp. 160–174.
ICEISICEIS-v1-2004-AlexopoulouKM #agile #architecture #data flow #enterprise
Managing Information Flow Dynamics with Agile Enterprise Architectures (NA, PK, DM), pp. 454–459.
ICEISICEIS-v2-2004-NetoCVMM #estimation #network #using
Monthly Flow Estimation Using Elman Neural Networks (LBN, PHGC, MLFV, JCCBSdM, LAM), pp. 153–158.
ICEISICEIS-v2-2004-Okhtilev #data flow #distributed #realtime
The Data Flow and Distributed Calculations Intelligence Information Technology for Decision Support System in Real Time (MO), pp. 497–500.
ICEISICEIS-v3-2004-Daute #data flow #process #re-engineering #representation #uml
Representation of Business Information Flow with an Extension for UML: From Business Processes to Object-Orientated Software Engineering (OD), pp. 569–572.
ICEISICEIS-v3-2004-KilpelainenT #case study
The Degree of Digitalization of the Information Over-Flow: A Case Study (TK, PT), pp. 367–374.
ICEISICEIS-v4-2004-KanzowDA #deployment #how #multi #workflow
Flow-Oriented Deployment of a Multi-Agent Population for Dynamic Workflow Enactment: A Different View on How to Use Agents for Workflow Management (SK, KD, YA), pp. 510–514.
ICPRICPR-v1-2004-YuanSKR #estimation #image
Convex Set-Based Estimation of Image Flows (JY, CS, TK, PR), pp. 124–127.
ICPRICPR-v2-2004-Robles-KellyH
Vector Field Smoothing Via Heat Flow (ARK, ERH), pp. 94–97.
ICPRICPR-v3-2004-BakerB
Principal Flow for Tubular Objects with Non-Circular Cross-Sections (GB, NB), pp. 750–753.
ICPRICPR-v4-2004-LucenaFB #evaluation #modelling
Evaluation of Three Optical Flow-Based Observation Models for Tracking (MJL, JMF, NPdlB), pp. 236–239.
GPCEGPCE-2004-DouenceT #aspect-oriented #control flow
A Pointcut Language for Control-Flow (RD, LT), pp. 95–114.
SACSAC-J-2003-MeloM04 #composition #framework #java #on the
On the composition of Java frameworks control-flows (ACVdM, BMM), pp. 301–307.
FSEFSE-2004-ZhangYF #analysis
Refining code-design mapping with flow analysis (XZ, MY, JHEFL), pp. 231–240.
ICSEICSE-2004-SinhaOH #automation #control flow #development #maintenance #testing
Automated Support for Development, Maintenance, and Testing in the Presence of Implicit Control Flow (SS, AO, MJH), pp. 336–345.
ASPLOSASPLOS-2004-SrinivasanRAGU #pipes and filters
Continual flow pipelines (STS, RR, HA, AG, MU), pp. 107–119.
ASPLOSASPLOS-2004-SuhLZD #data flow #execution #information management
Secure program execution via dynamic information flow tracking (GES, JWL, DZ, SD), pp. 85–96.
LCTESLCTES-2004-LiZ #control flow #java #programming
Advanced control flow in Java card programming (PL, SZ), pp. 165–174.
ICLPICLP-2004-TronconJV #compilation #control flow #evaluation #lazy evaluation #performance #query
Fast Query Evaluation with (Lazy) Control Flow Compilation (RT, GJ, HV), pp. 240–253.
VMCAIVMCAI-2004-Etessami #analysis #data flow #equation #game studies #graph #recursion #using
Analysis of Recursive Game Graphs Using Data Flow Equations (KE), pp. 282–296.
DACDAC-2003-BittlestoneHSA #architecture #library
Architecting ASIC libraries and flows in nanometer era (CB, AMH, VS, NVA), pp. 776–781.
DACDAC-2003-HwangLSSFYHV #design #embedded
Design flow for HW / SW acceleration transparency in the thumbpod secure embedded system (DDH, BCL, PS, KS, YF, SY, AH, IV), pp. 60–65.
DACDAC-2003-MemikMJK #data flow #graph #resource management #synthesis
Global resource sharing for synthesis of control data flow graphs on FPGAs (SOM, GM, RJ, EK), pp. 604–609.
DATEDATE-2003-BaganneBEGM #case study #design #integration #multi
A Multi-Level Design Flow for Incorporating IP Cores: Case Study of 1D Wavelet IP Integration (AB, IB, ME, RG, EM), pp. 20250–20255.
DATEDATE-2003-ChoiB #migration #network #using
Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration (WC, KB), pp. 11104–11105.
DATEDATE-2003-DaglioR #bottom-up #design #top-down
A Fully Qualified Top-Down and Bottom-Up Mixed-Signal Design Flow for Non Volatile Memories Technologies (PD, CR), pp. 20274–20279.
DATEDATE-2003-FalkM #control flow #source code
Control Flow Driven Splitting of Loop Nests at the Source Code Level (HF, PM), pp. 10410–10415.
DATEDATE-2003-KinP #data flow #parallel #simulation #thread
Multithreaded Synchronous Data Flow Simulation (JSK, JLP), pp. 11094–11095.
DATEDATE-2003-SurendraBN #network #reuse
Enhancing Speedup in Network Processing Applications by Exploiting Instruction Reuse with Flow Aggregation (GS, SB, SKN), pp. 10784–10789.
ESOPESOP-2003-Laud #analysis #data flow #encryption
Handling Encryption in an Analysis for Secure Information Flow (PL), pp. 159–173.
CSMRCSMR-2003-MerloAB #analysis #fuzzy #performance
Fast Flow Analysis to Compute Fuzzy Estimates of Risk Levels (EM, GA, PLB), p. 351–?.
WCREWCRE-2003-AliasB #algorithm #analysis #data flow #recognition
Algorithm Recognition based on Demand-Driven Data-flow Analysis (CA, DB), pp. 296–305.
PLDIPLDI-2003-HeineL #c #c++ #detection #memory management
A practical flow-sensitive and context-sensitive C and C++ memory leak detector (DLH, MSL), pp. 168–181.
STOCSTOC-2003-ChenRS #algorithm #approximate #confluence
Meet and merge: approximation algorithms for confluent flows (JC, RR, RS), pp. 373–382.
STOCSTOC-2003-DeyGJ
Alpha-shapes and flow shapes are homotopy equivalent (TKD, JG, MJ), pp. 493–502.
ICALPICALP-2003-AlfaroF #concurrent #data flow #game studies
Information Flow in Concurrent Games (LdA, MF), pp. 1038–1053.
ICALPICALP-2003-ChekuriMS #multi
Multicommodity Demand Flow in a Tree (CC, MM, FBS), pp. 410–425.
ICALPICALP-2003-HallHS #algorithm #complexity #multi #performance
Multicommodity Flows over Time: Efficient Algorithms and Complexity (AH, SH, MS), pp. 397–409.
SEFMSEFM-2003-BossiFPR #data flow #refinement #security
Refinement Operators and Information Flow Security (AB, RF, CP, SR), pp. 44–53.
ICFPICFP-2003-Mairson #analysis #game studies #semantics
From Hilbert space to Dilbert space: context semantics as a language for games and flow analysis (HGM), p. 125.
CHICHI-2003-TanCR #women
Women go with the (optical) flow (DST, MC, GGR), pp. 209–215.
AdaSIGAda-2003-AllaertCW #linux #migration #scalability
European air traffic flow management: porting a large application to GNU/linux (GA, DC, PW), pp. 29–37.
ICEISICEIS-v2-2003-OkuharaU #multi #problem
Logistics By Applying Evolutionary Computation to Multicommodity Flow Problem (KO, NU), pp. 511–514.
SEKESEKE-2003-GrigoriCG #named #process
COO-flow: a Process Technology to Support Cooperative Processes (DG, FC, CG), pp. 663–670.
SEKESEKE-2003-Wiederhold
The Product Flow Model (GW), pp. 182–186.
SIGIRSIGIR-2003-BartlettT #data flow
Discovering and structuring information flow among bioinformatics resources (JCB, EGT), pp. 411–412.
POPLPOPL-2003-CarterFT #graph
Folklore confirmed: reducible flow graphs are exponentially larger (LC, JF, CDT), pp. 106–114.
SACSAC-2003-MeloM #composition #framework #java #on the
On the Composition of Java Frameworks Control-flows (ACVdM, BMM), pp. 1099–1103.
SACSAC-2003-YangZ #approach #data access #data flow #object-oriented
An Approach to Secure Information Flow on Object Oriented Role-based Access Control Model (CY, CNZ), pp. 302–306.
ICSEICSE-2003-HongCLSU #data flow #model checking #testing
Data Flow Testing as Model Checking (HSH, SDC, IL, OS, HU), pp. 232–243.
ICLPICLP-2003-DrejhammarSBH #concurrent #declarative #java
Flow Java: Declarative Concurrency for Java (FD, CS, PB, SH), pp. 346–360.
ICTSSTestCom-2003-RanganathanDM #protocol #testing #using #xml
Testing SIP Call Flows Using XML Protocol Templates (MR, OD, DM), pp. 33–48.
DACDAC-2002-Sotiriou #implementation #using
Implementing asynchronous circuits using a conventional EDA tool-flow (CPS), pp. 415–418.
DACDAC-2002-ZhongD #algorithm #constraints #multi #optimisation
Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control (KZ, SD), pp. 854–859.
DATEDATE-2002-BystrovKY #design #modelling #partial order #visualisation
Visualization of Partial Order Models in VLSI Design Flow (AVB, MK, AY), p. 1089.
DATEDATE-2002-Hoffmann #design #generative #testing
A New Design Flow and Testability Measure for the Generation of a Structural Test and BIST for Analogue and Mixed-Signal Circuits (CH), pp. 197–204.
ESOPESOP-2002-WandW #analysis #composition #proving
A Modular, Extensible Proof Method for Small-Step Flow Analyses (MW, GBW), pp. 213–227.
SCAMSCAM-J-2001-AndersonBRT02 #points-to #set
Flow insensitive points-to sets (PA, DB, GR, TT), pp. 743–754.
PASTEPASTE-2002-Fiskio-LasseterY #equation #graph #programming
Flow equations as a generic programming tool for manipulation of attributed graphs (JHEFL, MY), pp. 69–76.
PASTEPASTE-2002-Naumovich #analysis #data flow #design pattern #implementation #using
Using the observer design pattern for implementation of data flow analyses (GN), pp. 61–68.
PLDIPLDI-2002-FosterTA
Flow-Sensitive Type Qualifiers (JSF, TT, AA), pp. 1–12.
SASSAS-2002-AdamsBDLRSW #analysis #data flow #pointer #using
Speeding Up Dataflow Analysis Using Flow-Insensitive Pointer Analysis (SA, TB, MD, SL, SKR, MS, WW), pp. 230–246.
SASSAS-2002-Probst #analysis #composition #control flow #library
Modular Control Flow Analysis for Libraries (CWP), pp. 165–179.
STOCSTOC-2002-ChekuriK #approximate
Approximation schemes for preemptive weighted flow time (CC, SK), pp. 297–305.
ICALPICALP-2002-Radzik #bound #network
Improving Time Bounds on Maximum Generalised Flow Computations by Contracting the Network (TR), pp. 611–622.
FMFME-2002-Fidge #analysis #assembly #control flow
Timing Analysis of Assembler Code Control-Flow Paths (CJF), pp. 370–389.
VISSOFTVISSOFT-2002-Francik #algorithm #data flow #specification #visualisation
Specifying Algorithm Visualizations in Terms of Data Flow (JF), p. 110–?.
AdaEuropeAdaEurope-2002-CzarneckiHRVR #ada #analysis #approach #data flow #named
DataFAN: A Practical Approach to Data Flow Analysis for Ada 95 (KC, MH, ER, FV, AR), pp. 231–244.
CIKMCIKM-2002-BruzaS #data flow #modelling #query
Inferring query models by computing information flow (PB, DS), pp. 260–269.
ICPRICPR-v1-2002-Auclair-FortierPZA #algebra #approach
A Computational Algebraic Topology Approach for Optical Flow (MFAF, PP, DZ, MA), pp. 352–355.
ICPRICPR-v1-2002-LiuCR #adaptation #estimation #parametricity #using
Accurate Dense Optical Flow Estimation Using Adaptive Structure Tensors and a Parametric Model (HL, RC, AR), pp. 291–294.
ICPRICPR-v1-2002-MakhervaksBB #image #representation #visual notation
Image Flows and One-Liner Graphical Image Representation (VM, GB, AMB), pp. 640–643.
ICPRICPR-v1-2002-OkadaMTO
Temporally Evaluated Optical Flow: Study on Accuracy (RO, AM, YT, KO), pp. 343–347.
ICPRICPR-v1-2002-TengLCH #robust
Robust Computation of Optical Flow under Non-Uniform Illumination Variations (CHT, SHL, YSC, WHH), pp. 327–330.
ICPRICPR-v1-2002-ZucchelliSC #estimation
Constrained Structure and Motion Estimation from Optical Flow (MZ, JSV, HIC), pp. 339–342.
ICPRICPR-v3-2002-SpiesB #constraints
Evaluating the Range Flow Motion Constraint (HS, JLB), p. 517–?.
ICPRICPR-v4-2002-BarronK
Quantitative Color Optical Flow (JLB, RK), pp. 251–255.
ICPRICPR-v4-2002-CorreiaC #algorithm #implementation #realtime
Real-Time Implementation of an Optical Flow Algorithm (MVC, ACC), pp. 247–250.
ICPRICPR-v4-2002-DuricLSW #detection #image #using
Using Normal Flow for Detection and Tracking of Limbs in Color Images (ZD, FL, YS, HW), p. 268–?.
ICPRICPR-v4-2002-MorencyD #constraints #using
Stereo Tracking Using ICP and Normal Flow Constraint (LPM, TD), p. 367–?.
LOPSTRLOPSTR-2002-BossiFPR #data flow #proving #security
A Proof System for Information Flow Security (AB, RF, CP, SR), pp. 199–218.
POPLPOPL-2002-HondaY #data flow
A uniform type structure for secure information flow (KH, NY), pp. 81–92.
POPLPOPL-2002-PottierS #data flow #ml
Information flow inference for ML (FP, VS), pp. 319–330.
SACSAC-2002-HuangHC #adaptation #streaming
A proxy-based adaptive flow control scheme for media streaming (CMH, THH, CKC), pp. 750–754.
ICSEICSE-2002-GraunkeK #control flow #flexibility #user interface #visual notation
Advanced control flows for flexible graphical user interfaces: or, growing GUIs on trees or, bookmarking GUIs (PTG, SK), pp. 277–287.
ASPLOSASPLOS-2002-LiJSVR #comprehension #control flow #operating system #predict
Understanding and improving operating system effects in control flow prediction (TL, LKJ, AS, NV, JR), pp. 68–80.
CCCC-2002-Mohnen #analysis #approach #data flow
A Graph-Free Approach to Data-Flow Analysis (MM), pp. 46–61.
LCTESLCTES-SCOPES-2002-KastnerW #assembly #control flow #re-engineering
Generic control flow reconstruction from assembly code (DK, SW), pp. 46–55.
ISSTAISSTA-2002-Naumovich #algorithm #java #source code
A conservative algorithm for computing the flow of permissions in Java programs (GN), pp. 33–43.
VMCAIVMCAI-2002-FocardiPR #bisimulation #data flow #proving #security
Proofs Methods for Bisimulation Based Information Flow Security (RF, CP, SR), pp. 16–31.
DACDAC-2001-NorthropL #design
A Semi-Custom Design Flow in High-Performance Microprocessor Design (GAN, PFL), pp. 426–431.
DACDAC-2001-ReyneriCSL #co-evolution #design #hardware #library
A Hardware/Software Co-design Flow and IP Library Based of SimulinkTM (LMR, FC, AS, LL), pp. 593–598.
DACDAC-2001-SanieCHM #design #standard
A Practical Application of Full-Feature Alternating Phase-Shifting Technology for a Phase-Aware Standard-Cell Design Flow (MS, MC, PH, VM), pp. 93–96.
VLDBVLDB-2001-ZengBNN #named #workflow
AgFlow: Agent-based Cross-Enterprise Workflow Management System (LZ, BB, PN, AHHN), pp. 697–698.
ESOPESOP-2001-Laud #data flow #program analysis #semantics
Semantics and Program Analysis of Computationally Secure Information Flow (PL), pp. 77–91.
ESOPESOP-2001-NielsonS #analysis #control flow #polynomial
Control-Flow Analysis in Cubic Time (FN, HS), pp. 252–268.
ESOPESOP-2001-ZdancewicM #continuation #data flow
Secure Information Flow and CPS (SZ, ACM), pp. 46–61.
CSMRCSMR-2001-MorettiCO #algorithm #control flow #graph
New Algorithms for Control-Flow Graph Structuring (EM, GC, AO), pp. 184–187.
ICSMEICSM-2001-AtkinsonG #analysis #data flow #implementation #performance #scalability #source code
Implementation Techniques for Efficient Data-Flow Analysis of Large Programs (DCA, WGG), pp. 52–61.
ICSMEICSM-2001-MalenfantAMD #analysis #detection
Flow Analysis to Detect Blocked Statements (BM, GA, EM, MD), p. 62–?.
PASTEPASTE-2001-LiangPH #analysis #java #points-to
Extending and evaluating flow-insenstitive and context-insensitive points-to analyses for Java (DL, MP, MJH), pp. 73–79.
SCAMSCAM-2001-AndersonBRT #points-to #set
Flow Insensitive Points-To Sets (PA, DB, GR, TT), pp. 81–91.
SCAMSCAM-2001-MaggiS #analysis #bytecode #data flow #java #using
Using Data Flow Analysis to Infer Type Information in Java Bytecode (PM, RS), p. 213–?.
STOCSTOC-2001-BecchettiL #parallel #scheduling
Non-clairvoyant scheduling to minimize the average flow time on single and parallel machines (LB, SL), pp. 94–103.
STOCSTOC-2001-ChekuriKZ #algorithm
Algorithms for minimizing weighted flow time (CC, SK, AZ), pp. 84–93.
STOCSTOC-2001-GuptaKKRY #design #multi #network #problem
Provisioning a virtual private network: a network design problem for multicommodity flow (AG, JMK, AK, RR, BY), pp. 389–398.
FMFME-2001-Mantel #data flow
Information Flow Control and Applications — Bridging a Gap (HM), pp. 153–172.
VISSOFTSVIS-2001-Evstiougov-Babaev #control flow #developer #embedded #graph #visualisation
Call Graph and Control Flow Graph Visualization for Developers of Embedded Applications (AAEB), pp. 337–346.
VISSOFTSVIS-2001-Francik #algorithm #animation #data flow #using
Algorithm Animation Using Data Flow Tracing (JF), pp. 73–87.
ICEISICEIS-v2-2001-LitoiuSS #composition #modelling
Flow Composition Modeling with MOF (ML, MS, MTS), pp. 590–598.
CIKMCIKM-2001-Bruno #enterprise
Faciliating Knowledge Flow through the Enterprise (JB), pp. 562–564.
MLDMMLDM-2001-ImiyaI #detection #statistics
Statistics of Flow Vectors and Its Application to the Voting Method for the Detection of Flow Fields (AI, KI), pp. 293–306.
SEKESEKE-2001-JarzabekZ #abstraction #analysis #component #control flow #reuse
Enhacing component reuse with control flow abstraction analysis (SJ, HZ), pp. 171–178.
SIGIRSIGIR-2001-SongB #concept #data flow #information management #using
Discovering Information Flow Using a High Dimensional Conceptual Space (DS, PB), pp. 327–333.
PPDPPPDP-2001-HengleinMN #approach #control flow #memory management
A Direct Approach to Control-Flow Sensitive Region-Based Memory Management (FH, HM, HN), pp. 175–186.
POPLPOPL-2001-RehofF #analysis #polymorphism #type system
Type-base flow analysis: from polymorphic subtyping to CFL-reachability (JR, MF), pp. 54–66.
SACSAC-2001-LeeGA #learning #multi
A multi-neural-network learning for lot sizing and sequencing on a flow-shop (IL, JNDG, ADA), pp. 36–40.
SACSAC-2001-LiuBKV #scalability #visualisation
Large-scale flow field visualization for aneurysm treatment (DSML, MB, WJK, DJV), pp. 68–72.
ICSEICSE-2001-CobleighCO #algorithm #analysis #data flow #finite #verification
The Right Algorithm at the Right Time: Comparing Data Flow Analysis Algorithms for Finite State Verification (JMC, LAC, LJO), pp. 37–46.
CCCC-2001-MehoferS #data flow #framework #novel #probability
A Novel Probabilistic Data Flow Framework (EM, BS), pp. 37–51.
CCCC-2001-YunKM #control flow #pipes and filters #towards
A First Step Towards Time Optimal Software Pipelining of Loops with Control Flows (HSY, JK, SMM), pp. 182–199.
HPCAHPCA-2001-MichaudS #data flow #scalability
Data-Flow Prescheduling for Large Instruction Windows in Out-of-Order Processors (PM, AS), pp. 27–36.
DACDAC-2000-HorstmannshoffM #code generation #data flow #graph #performance
Efficient building block based RTL code generation from synchronous data flow graphs (JH, HM), pp. 552–555.
DACDAC-2000-SundararajanSP #named
MINFLOTRANSIT: min-cost flow based transistor sizing tool (VS, SSS, KKP), pp. 649–664.
DATEDATE-2000-BjureusJ #control flow #named #specification
MASCOT: A Specification and Cosimulation Method Integrating Data and Control Flow (PB, AJ), pp. 161–168.
DATEDATE-2000-JantschB
Composite Signal Flow: A Computational Model Combining Events, Sampled Streams, and Vectors (AJ, PB), pp. 154–160.
DATEDATE-2000-KruseSJSN #bound #constraints #data flow #graph #power management
Lower Bounds on the Power Consumption in Scheduled Data Flow Graphs with Resource Constraints (LK, ES, GJ, AS, WN), p. 737.
DATEDATE-2000-NiemegeersJ #embedded #incremental #realtime #specification
An Incremental Specification Flow for Real Time Embedded Systems (AN, GGdJ), p. 761.
HTHT-2000-DouglasH
The pleasure principle: immersion, engagement, flow (YD, AH), pp. 153–160.
ESOPESOP-2000-AmtoftT #polymorphism
Faithful Translations between Polyvariant Flows and Polymorphic Types (TA, FAT), pp. 26–40.
ESOPESOP-2000-CejtinJW
Flow-Directed Closure Conversion for Typed Languages (HC, SJ, SW), pp. 56–71.
ESOPESOP-2000-HondaVY #behaviour #data flow #process
Secure Information Flow as Typed Process Behaviour (KH, VTV, NY), pp. 180–199.
ESOPESOP-2000-SmithW #analysis
Polyvariant Flow Analysis with Constrained Types (SFS, TW), pp. 382–396.
CSMRCSMR-2000-JohnstoneSW #assembly #challenge #compilation #control flow #what
What Assembly Language Programmers Get Up To: Control Flow Challenges in Reverse Compilation (AJ, ES, TW), pp. 83–92.
PLDIPLDI-2000-FahndrichRD #analysis #constraints #scalability #using
Scalable context-sensitive flow analysis using instantiation constraints (MF, JR, MD), pp. 253–263.
SASSAS-2000-FosterFA #analysis #c #points-to #polymorphism
Polymorphic versus Monomorphic Flow-Insensitive Points-to Analysis for C (JSF, MF, AA), pp. 175–198.
STOCSTOC-2000-FleischerI #algorithm
Improved algorithms for submodular function minimization and submodular flow (LF, SI), pp. 107–116.
STOCSTOC-2000-Vygen #algorithm #on the
On dual minimum cost flow algorithms (extended abstract) (JV), pp. 117–125.
ICALPICALP-2000-HennessyR #data flow #resource management #π-calculus
Information Flow vs. Resource Access in the Asynchronous π-Calculus (MH, JR), pp. 415–427.
ICFPICFP-2000-PottierC #data flow #for free
Information flow inference for free (FP, SC), pp. 46–57.
AdaEuropeAdaEurope-2000-BliebergerBS #ada #analysis #data flow #detection #source code
Symbolic Data Flow Analysis for Detecting Deadlocks in Ada Tasking Programs (JB, BB, BS), pp. 225–237.
EDOCEDOC-2000-YuraMY #constraints #specification
Specification of Constraints in Business Flow (SY, TM, SY), pp. 102–106.
ICPRICPR-v1-2000-BatistaPA
Binocular Tracking and Accommodation Controlled by Retinal Motion Flow (JB, PP, HA), pp. 1171–1174.
ICPRICPR-v1-2000-BimboPT #retrieval #video
Video Retrieval Based on Dynamics of Color Flows (ADB, PP, LT), pp. 1851–1854.
ICPRICPR-v1-2000-FermullerA #process #statistics
The Statistics of Optical Flow: Implications for the Process of Correspondence in Vision (CF, YA), pp. 1119–1126.
ICPRICPR-v1-2000-SpiesJB
Dense Range Flow from Depth and Intensity Data (HS, BJ, JLB), pp. 1131–1134.
ICPRICPR-v3-2000-ChenLL #estimation
Wavelet-Based Optical Flow Estimation (LFC, JCL, HYML), pp. 7068–7071.
ICPRICPR-v3-2000-CorpettiMP
Estimating Fluid Optical Flow (TC, ÉM, PP), pp. 7045–7048.
ICPRICPR-v3-2000-DuricRR
Qualitative Description of Camera Motion from Histograms of Normal Flow (ZD, ER, AR), pp. 3198–3202.
ICPRICPR-v3-2000-HerlinB #estimation
Object Based Optical Flow Estimation with an Affine Prior Model (IH, DB), pp. 7060–7063.
ICPRICPR-v3-2000-Qiu
Computing Optical Flow Based on the Mass-Conserving Assumption (MQ), pp. 7041–7044.
ICPRICPR-v3-2000-RoyG #probability
MRF Solutions for Probabilistic Optical Flow Formulations (SR, VG), pp. 7053–7059.
ICPRICPR-v3-2000-Silvan-CardenasE #information management
Optic-Flow Information Extraction with Directional Gaussian-Derivatives (JLSC, BER), pp. 3194–3197.
ICPRICPR-v3-2000-YeH #adaptation #approach
Optical Flow from a Least-Trimmed Squares Based Adaptive Approach (MY, RMH), pp. 7064–7067.
ICPRICPR-v4-2000-FabletB #statistics #using
Statistical Motion-Based Object Indexing Using Optic Flow Field (RF, PB), pp. 4287–4290.
ICPRICPR-v4-2000-IwamotoTC #classification #named #realtime
REFLICS: Real-Time Flow Imaging and Classification System (SI, MMT, DMCJ), pp. 4689–4692.
ICPRICPR-v4-2000-KagamiOII #3d #generative #realtime
Realtime 3D Depth Flow Generation and its Application to Track to Walking Human Being (SK, KO, MI, HI), pp. 4197–4200.
ICPRICPR-v4-2000-StofflerBF #realtime #using
Real-Time Obstacle Avoidance Using an MPEG-Processor-Based Optic Flow Sensor (NOS, TB, GF), pp. 4161–4166.
PPDPPPDP-2000-HamonP #composition #data flow #source code
Modular resetting of synchronous data-flow programs (GH, MP), pp. 289–300.
POPLPOPL-2000-EsparzaP #algorithm #graph #interprocedural #parallel #performance
Efficient Algorithms for pre* and post* on Interprocedural Parallel Flow Graphs (JE, AP), pp. 1–11.
POPLPOPL-2000-MuthD #analysis #complexity #data flow #on the
On the Complexity of Flow-Sensitive Dataflow Analyses (RM, SKD), pp. 67–80.
SACSAC-2000-BoujarwahSA #analysis #data flow #java #source code #testing #using
Testing Java Programs Using Dynamic Data Flow Analysis (ASB, KS, JAD), pp. 725–727.
SACSAC-2000-LenartSG #analysis #constant
SSA-based Flow-sensitive Type Analysis: Combining Constant and Type Propagation (AL, CS, SKSG), pp. 813–817.
HPCAHPCA-2000-PehD
Flit-Reservation Flow Control (LSP, WJD), pp. 73–84.
HPDCHPDC-2000-PlaleS #data flow #embedded #named #query #scalability #using
dQUOB: Managing Large Data Flows using Dynamic Embedded Queries (BP, KS), pp. 263–270.
ISSTAISSTA-2000-FranklD #branch #case study #comparison #data flow #reliability #testing
Comparison of delivered reliability of branch, data flow and operational testing: A case study (PGF, YD), pp. 124–134.
DACDAC-1999-ClementHLRCP #design #multi #performance #prototype
Fast Prototyping: A System Design Flow Applied to a Complex System-on-Chip Multiprocessor Design (BC, RH, EL, BR, PC, FP), pp. 420–424.
ESOPESOP-1999-NielsonN #analysis #control flow #interprocedural
Interprocedural Control Flow Analysis (FN, HRN), pp. 20–39.
ESOPESOP-1999-SabelfeldS #data flow #source code
A Per Model of Secure Information Flow in Sequential Programs (AS, DS), pp. 40–58.
FoSSaCSFoSSaCS-1999-EsparzaK #analysis #approach #data flow #interprocedural
An Automata-Theoretic Approach to Interprocedural Data-Flow Analysis (JE, JK), pp. 14–30.
CSMRCSMR-1999-Tonella #analysis #points-to #set
Effects of Different Flow Insensitive Points-to Analyses on DEF/USE Sets (PT), pp. 62–71.
ICSMEICSM-1999-Agrawal #analysis #data flow #graph
Simultaneous Demand-Driven Data-Flow and Call Graph Analysis (GA), pp. 453–462.
PASTEPASTE-1999-LiangH #analysis #data flow #equivalence #performance #pointer
Equivalence Analysis: A General Technique to Improve the Efficiency of Data-flow Analyses in the Presence of Pointers (DL, MJH), pp. 39–46.
PASTEPASTE-1999-NaumovichCC #analysis #data flow #partial order #performance #using #verification
Using Partial Order Techniques to Improve Performance of Data Flow Analysis Based Verification (GN, LAC, JMC), pp. 57–65.
PASTEPASTE-1999-Steindl #data flow #programming
Benefits of a Data Flow-Aware Programming Environment (CS), pp. 105–109.
SASSAS-1999-Soffa #analysis #data flow #named #precise #scalability #tutorial
Tutorial: Techniques to Improve the Scalability and Precision of Data Flow Analysis (MLS), pp. 355–356.
STOCSTOC-1999-AwerbuchALR #migration
Minimizing the Flow Time Without Migration (BA, YA, SL, OR), pp. 198–205.
STOCSTOC-1999-Wayne #algorithm #combinator #polynomial
A Polynomial Combinatorial Algorithm for Generalized Minimum Cost Flow (KDW), pp. 11–18.
HCIHCI-CCAD-1999-LinDF #comprehension #data flow #diagrams #difference
Strategy differences in data flow diagram comprehension between intermediates and novices (CJL, TCD, YMF), pp. 48–52.
HCIHCI-EI-1999-HuangWC #learning #programming
A Flow-chart Based Learning System for Computer Programming (KHH, KW, SYC), pp. 1298–1302.
KDDKDD-1999-LeeSM #data flow #detection #experience #mining #network
Mining in a Data-Flow Environment: Experience in Network Intrusion Detection (WL, SJS, KWM), pp. 114–124.
POPLPOPL-1999-Myers #data flow #named
JFlow: Practical Mostly-Static Information Flow Control (ACM), pp. 228–241.
SACSAC-1999-LeavensWB #data flow #diagrams #semantics #specification
Formal Semantics for SA Style Data Flow Diagram Specification Languages (GTL, TW, ALB), pp. 526–532.
ESECESEC-FSE-1999-RobillardM #exception #java #source code
Analyzing Exception Flow in Java Programs (MPR, GCM), pp. 322–327.
ESECESEC-FSE-1999-RountevRL #analysis #data flow
Data-Flow Analysis of Program Fragments (AR, BGR, WL), pp. 235–252.
ICSEICSE-1999-NaumovichAC #analysis #concurrent #data flow #java #source code
Data Flow Analysis for Checking Properties of Concurrent Java Programs (GN, GSA, LAC), pp. 399–410.
ICSEICSE-1999-SinhaHR #control flow #interprocedural #slicing #source code
System-Dependence-Graph-Based Slicing of Programs with Arbitrary Interprocedural Control Flow (SS, MJH, GR), pp. 432–441.
ICSEICSE-1999-YurRL #alias #analysis #incremental #pointer
An Incremental Flow- and Context-Sensitive Pointer Aliasing Analysis (JSY, BGR, WL), pp. 442–451.
PPoPPPPoPP-1999-MoonH #analysis #array #automation #data flow #evaluation #parallel
Evaluation of Predicated Array Data-Flow Analysis for Automatic Parallelization (SM, MWH), pp. 84–95.
DACDAC-1998-LakshminarayanaJ #behaviour #control flow #framework #named #optimisation #throughput
FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions (GL, NKJ), pp. 102–107.
DACDAC-1998-LakshminarayanaRJ #behaviour #control flow #execution #scheduling
Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions (GL, AR, NKJ), pp. 108–113.
DACDAC-1998-OhH #data flow #design #graph
Rate Optimal VLSI Design from Data Flow Graph (MO, SH), pp. 118–121.
DACDAC-1998-SalekLP #design
A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together (AHS, JL, MP), pp. 128–134.
DATEDATE-1998-KhouriLJ #control flow #named #power management #synthesis
IMPACT: A High-Level Synthesis System for Low Power Control-Flow Intensive Circuits (KSK, GL, NKJ), pp. 848–854.
DATEDATE-1998-Rosenstiel #design #industrial #standard #verification
Formal Verification: A New Standard CAD Tool for the Industrial Design Flow (WR), p. 422.
SIGMODSIGMOD-1998-KiepuszewskiMO #named #workflow
FlowBack: Providing Backward Recovery for Workflow Systems (BK, RM, MEO), pp. 555–557.
FASEFASE-1998-ReedJDR #analysis #automation #formal method #modelling #network
Automated Formal Analysis of Networks: FDR Models of Arbitrary Topologies and Flow-Control Mechanisms (JNR, DMJ, BD, GMR), pp. 239–254.
CSMRCSMR-1998-BrandSV #cics #cobol #control flow #legacy #normalisation
Control Flow Normalization for COBOL/CICS Legacy System (MvdB, MPAS, CV), pp. 11–20.
CSMRCSMR-1998-YehL #analysis #data flow #metric #testing
Software Testability Measurements Derived from Data Flow Analysis (PLY, JCL), pp. 96–103.
PASTEPASTE-1998-NaumovichCO #analysis #concurrent #data flow #performance #source code
Efficient Composite Data Flow Analysis Applied to Concurrent Programs (GN, LAC, LJO), pp. 51–58.
WCREWCRE-1998-CimitileCL #analysis #data flow #incremental #migration
Incremental Migration Strategies: Data Flow Analysis For Wrapping (AC, UdC, ADL), pp. 59–68.
PLDIPLDI-1998-AmmonsL #analysis #data flow
Improving Data-flow Analysis with Path Profiles (GA, JRL), pp. 72–84.
PLDIPLDI-1998-HastiH #analysis #pointer #using
Using Static Single Assignment Form to Improve Flow-Insensitive Pointer Analysis (RH, SH), pp. 97–105.
SASSAS-1998-HandjievaT #analysis #clustering #control flow #using
Refining Static Analyses by Trace-Based Partitioning Using Control Flow (MH, ST), pp. 200–214.
SASSAS-1998-HindP #alias #analysis #pointer
Assessing the Effects of Flow-Sensitivity on Pointer Alias Analyses (MH, AP), pp. 57–81.
SASSAS-1998-Ruthing #analysis #bidirectional #data flow
Bidirectional Data Flow Analysis in Code Motion: Myth and Reality (OR), pp. 1–16.
STOCSTOC-1998-KargerL #graph
Finding Maximum Flows in Undirected Graphs Seems Easier than Bipartite Matching (DRK, MSL), pp. 69–78.
STOCSTOC-1998-Kleinberg #algorithm #problem
Decision Algorithms for Unsplittable Flow and the Half-Disjoint Paths Problem (JMK), pp. 530–539.
PLDIBest-of-PLDI-1998-AmmonsL98a #analysis #data flow
Improving data-flow analysis with path profiles (with retrospective) (GA, JRL), pp. 568–582.
ICPRICPR-1998-IvinsPFO #component #linear #probability
The joint probability density function for linear optic flow components (JI, JP, JPF, GAO), pp. 795–798.
ICPRICPR-1998-MaeS #3d
Tracking moving object in 3-D space based on optical flow and edges (YM, YS), pp. 1439–1441.
ICPRICPR-1998-ToepferWBN #detection #navigation
Robot navigation by combining central and peripheral optical flow detection on a space-variant map (CT, MW, GB, HN), pp. 1804–1807.
POPLPOPL-1998-BodikA #analysis
Path-Sensitive Value-Flow Analysis (RB, SA), pp. 237–251.
POPLPOPL-1998-PalsbergP
From Polyvariant Flow Information to Intersection and Union Types (JP, CP), pp. 197–208.
POPLPOPL-1998-Schmidt #abstract interpretation #analysis #data flow #model checking
Data Flow Analysis is Model Checking of Abstract Interpretations (DAS), pp. 38–48.
POPLPOPL-1998-SmithV #concurrent #data flow #imperative #multi #thread
Secure Information Flow in a Multi-Threaded Imperative Language (GS, DMV), pp. 355–364.
FSEFSE-1998-NaumovichA #algorithm #data flow #detection #parallel
A Conservative Data Flow Algorithm for Detecting All Pairs of Statement That May Happen in Parallel (GN, GSA), pp. 24–34.
CCCC-1998-BraunKK #analysis #control flow #generative #named
Cool: A Control-Flow Generator for System Analysis (VB, JK, DK), pp. 306–309.
CCCC-1998-MalacariaH #analysis #approach #control flow
A New Approach to Control Flow Analysis (PM, CH), pp. 95–108.
CCCC-1998-NielsonN #analysis #constraints #logic
Flow Logics for Constraint Based Analysis (HRN, FN), pp. 109–127.
HPCAHPCA-1998-KatevenisSS
Credit-Flow-Controlled ATM for MP Interconnection: The ATLAS I Single-Chip ATM Switch (MK, DNS, ES), pp. 47–56.
HPCAHPCA-1998-MillerJL #architecture
Non-Stalling CounterFlow Architecture (MFM, KJJ, SLL), pp. 334–341.
ISSTAISSTA-1998-Ball #analysis #control flow #on the #testing
On the Limit of Control Flow Analysis for Regression Test Selection (TB), pp. 134–142.
ISSTAISSTA-1998-StocksRLZ #context-sensitive grammar #problem
Comparing Flow and Context Sensitivity on the Modification-Side-Effects Problem (PS, BGR, WL, SZ), pp. 21–31.
ASEASE-1997-Dwyer #analysis #composition #concurrent
Modular Flow Analysis for Concurrent Software (MBD), pp. 264–273.
ASEASE-1997-HarrisonB #analysis #data flow #design #information management
Data Flow Analysis within the ITOC Information System Design Recovery Tool (JVH, AB), p. 227–?.
DACDAC-1997-AdeLP #data flow #graph #memory management
Data Memory Minimisation for Synchronous Data Flow Graphs Emulated on DSP-FPGA Targets (MA, RL, JAP), pp. 64–69.
DACDAC-1997-AgrawalG #behaviour #clustering #data flow #embedded
Data-Flow Assisted Behavioral Partitioning for Embedded Systems (SA, RKG), pp. 709–712.
DACDAC-1997-Gebotys #energy #memory management #network #using
Low Energy Memory and Register Allocation Using Network Flow (CHG), pp. 435–440.
DACDAC-1997-KuoC #approach #clustering #network
A Network Flow Approach for Hierarchical Tree Partitioning (MTK, CKC), pp. 512–517.
DACDAC-1997-RaghunathanDJW #control flow #design #power management
Power Management Techniques for Control-Flow Intensive Designs (AR, SD, NKJ, KW), pp. 429–434.
DACDAC-1997-SchurmannA #design #modelling #tool support
Modeling Design Tasks and Tools: The Link Between Product and Flow Model (BS, JA), pp. 564–569.
DATEEDTC-1997-GrotkerSM #data flow #modelling #named
PCC: a modeling technique for mixed control/data flow systems (TG, RS, HM), pp. 482–486.
PEPMPEPM-1997-HornofN #analysis #imperative
Accurate Binding-Time Analysis For Imperative Languages: Flow, Context, and Return Sensitivity (LH, JN), pp. 63–73.
PLDIPLDI-1997-AmmonsBL #hardware #performance #profiling
Exploiting Hardware Performance Counters with Flow and Context Sensitive Profiling (GA, TB, JRL), pp. 85–96.
PLDIPLDI-1997-HeintzeM #analysis #control flow #linear #transitive
Linear-time Subtransitive Control Flow Analysis (NH, DAM), pp. 261–272.
SASSAS-1997-JagannathanWW #analysis
Type-Directed Flow Analysis for Typed Intermediate Languages (SJ, SW, AKW), pp. 232–249.
SASSAS-1997-Mossin #analysis
Exact Flow Analysis (CM), pp. 250–264.
STOCSTOC-1997-ChungY #graph
Eigenvalues, Flows and Separators of Graphs (FRKC, STY), p. 749.
STOCSTOC-1997-Karger #graph #random #using
Using Random Sampling to Find Maximum Flows in Uncapacitated Undirected Graphs (DRK), pp. 240–249.
STOCSTOC-1997-LeonardiR #approximate #parallel
Approximating Total Flow Time on Parallel Machines (SL, DR), pp. 110–119.
ICFPICFP-1997-Ashley #analysis #effectiveness
The Effectiveness of Flow Analysis for Inlining (JMA), pp. 99–111.
ICFPICFP-1997-DimockMTW #representation
Strongly Typed Flow-Directed Representation Transformations (AD, RM, FAT, JBW), pp. 11–24.
ICFPICFP-1997-GasserNN #analysis #control flow
Systematic Realisation of Control Flow Analyses for CML (KLSG, FN, HRN), pp. 38–51.
ICFPICFP-1997-Wailly #data flow #functional #named #parallel
λ-Flow: A Parallel Functional Synchronous Dataflow Language (GdW), p. 322.
PPDPPLILP-1997-Mossin #graph #higher-order
Higher-Order Value Flow Graphs (CM), pp. 159–173.
POPLPOPL-1997-NielsonN #analysis #control flow #semantics
Infinitary Control Flow Analysis: a Collecting Semantics for Closure Analysis (HRN, FN), pp. 332–345.
POPLPOPL-1997-ShapiroH #analysis #performance #points-to
Fast and Accurate Flow-Insensitive Points-To Analysis (MS, SH), pp. 1–14.
ESECESEC-FSE-1997-BodikGS #data flow #using
Refining Data Flow Information Using Infeasible Paths (RB, RG, MLS), pp. 361–377.
ICSEICSE-1997-TonellaAFM #analysis #c++ #morphism #pointer #polymorphism #slicing
Flow Insensitive C++ Pointers and Polymorphism Analysis and its Application to Slicing (PT, GA, RF, EM), pp. 433–443.
ASF+SDFASF+SDF-1997-Moonen #analysis #architecture #data flow #reverse engineering
A generic architecture for data flow analysis to support reverse engineering (LM), p. 10.
HPCAHPCA-1997-JacobsonBSS #control flow #multi
Control Flow Speculation in Multiscalar Processors (QJ, SB, NS, JES), pp. 218–229.
PPoPPPPoPP-1997-GuLL #analysis #array #data flow #experience #performance
Experience with Efficient Array Data-Flow Analysis for Array Privatization (JG, ZL, GL), pp. 157–167.
SOSPSOSP-1997-MyersL #data flow #distributed
A Decentralized Model for Information Flow Control (ACM, BL), pp. 129–142.
LICSLICS-1997-HeintzeM #analysis #on the #polynomial #type system
On the Cubic Bottleneck in Subtyping and Flow Analysis (NH, DAM), pp. 342–351.
DACDAC-1996-BamjiM #algorithm #network #optimisation
Enhanced Network Flow Algorithm for Yield Optimization (CB, EM), pp. 746–751.
DACDAC-1996-BerrebiKVTHFJB #control flow #data flow #synthesis
Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis (EB, PK, SV, SDT, JCH, JF, AAJ, IB), pp. 573–578.
DACDAC-1996-Eiriksson #design #verification
Integrating Formal Verification Methods with A Conventional Project Design Flow (ÁTE), pp. 666–671.
DACDAC-1996-ParulkarGB #bound #data flow #graph
Lower Bounds on Test Resources for Scheduled Data Flow Graphs (IP, SKG, MAB), pp. 143–148.
ESOPESOP-1996-JensenM #analysis #geometry #interactive
Flow Analysis in the Geometry of Interaction (TPJ, IM), pp. 188–203.
WCREWCRE-1996-TonellaFAM #analysis #architecture #case study
Augmenting Pattern-Based Architectural Recovery with Flow Analysis: Mosaic — A Case Study (PT, RF, GA, EM), pp. 198–207.
WPCWPC-1996-DoorleyC #automation #case study #data flow #diagrams #experience
Experiences in Automatic Leveling of Data Flow Diagrams (MD, AC), pp. 218–229.
PLDIPLDI-1996-JagannathanW
Flow-directed Inlining (SJ, AKW), pp. 193–205.
PLDIPLDI-1996-Ramalingam #analysis #data flow
Data Flow Frequency Analysis (GR), pp. 267–277.
PLDIPLDI-1996-SreedharGL #analysis #data flow #framework #graph #incremental #using
A New Framework for Exhaustive and Incremental Data Flow Analysis Using DJ Graphs (VCS, GRG, YFL), pp. 278–290.
SASSAS-1996-PandeR #data flow
Data-Flow-Based Virtual Function Resolution (HDP, BGR), pp. 238–254.
STOCSTOC-1996-AfekMO #algorithm #complexity #convergence
Convergence Complexity of Optimistic Rate Based Flow Control Algorithms (Extended Abstract) (YA, YM, ZO), pp. 89–98.
STOCSTOC-1996-KellererTW
Approximability and Nonapproximability Results for Minimizing Total Flow Time on a Single Machine (HK, TT, GJW), pp. 418–426.
STOCSTOC-1996-McCormick #algorithm #parametricity #performance #scheduling
Fast Algorithms for Parametric Scheduling Come from Extensions to Parametric Maximum Flow (STM), pp. 319–328.
IFLIFL-1996-DebbabiFT #algorithm #analysis #concurrent #control flow #higher-order #source code #type system
A Type-Based Algorithm for the Control-Flow Analysis of Higher-Order Concurrent Programs (MD, AF, NT), pp. 247–266.
ICPRICPR-1996-AraujoBPD #using
Pursuit control in a binocular active vision system using optical flow (HA, JB, PP, JD), pp. 313–317.
ICPRICPR-1996-BenoitF #realtime
Monocular optical flow for real-time vision systems (SB, FPF), pp. 864–868.
ICPRICPR-1996-CamusCHH #realtime #using
Real-time single-workstation obstacle avoidance using only wide-field flow divergence (TC, DC, MH, THH), pp. 323–330.
ICPRICPR-1996-CorreiaCSN #visual notation
Optical flow techniques applied to the calibration of visual perception experiments (MVC, AJCC, JAS, LMN), pp. 498–502.
ICPRICPR-1996-MaeSMK
Object tracking in cluttered background based on optical flow and edges (YM, YS, JM, YK), pp. 196–200.
ICPRICPR-1996-MeminP #robust
Robust discontinuity-preserving model for estimating optical flow (ÉM, PP), pp. 920–924.
ICPRICPR-1996-WatanabeTO #analysis #recognition
A moving object recognition method by optical flow analysis (MW, NT, KO), pp. 528–533.
POPLPOPL-1996-Ashley #analysis #flexibility #higher-order
A Practical and Flexible Flow Analysis for Higher-Order Languages (JMA), pp. 184–194.
FSEFSE-1996-NaumovichCO #analysis #communication #data flow #protocol #using #verification
Verification of Communication Protocols Using Data Flow Analysis (GN, LAC, LJO), pp. 93–105.
ICSEICSE-1996-DuesterwaldGS #data flow #integration #testing
A Demand-Driven Analyzer for Data Flow Testing at the Integration Level (ED, RG, MLS), pp. 575–584.
ICSEICSE-1996-DwyerC #architecture #data flow #flexibility
A Flexible Architecture for Building Data Flow Analyzers (MBD, LAC), pp. 554–564.
ICSEICSE-1996-Forgacs #analysis #array #data flow #testing
An Exact Array Reference Analysis for Data Flow Testing (IF), pp. 565–574.
ISSTAISSTA-1996-MarxF #approach #data flow #pointer #testing
The Path-Wise Approach to Data Flow Testing with Pointer Variables (DISM, PGF), pp. 135–146.
DACDAC-1995-BombanaCCHMZ #case study #synthesis
Design-Flow and Synthesis for ASICs: A Case Study (MB, PC, SC, RBH, GM, GZ), pp. 292–297.
DACDAC-1995-JohnsonB #design
Incorporating Design Schedule Management into a Flow Management System (EWJ, JBB), pp. 82–87.
DACDAC-1995-ZepterGM #data flow #design #generative #graph #using
Digital Receiver Design Using VHDL Generation from Data Flow Graphs (PZ, TG, HM), pp. 228–233.
ICDARICDAR-v2-1995-ButlerGST #data flow #diagrams #documentation #logic
Analyzing the logical structure of data flow diagrams in software documents (GB, PG, RS, IAT), pp. 575–578.
VLDBVLDB-1995-MoserKK #data flow #interactive #multi #named
L/MRP: A Buffer Management Strategy for Interactive Continuous Data Flows in a Multimedia DBMS (FM, AK, WK), pp. 275–286.
ICSMEICSM-1995-GuptaS #data flow #testing
Priority based data flow testing (RG, MLS), pp. 348–357.
WCREWCRE-1995-ButlerGST #data flow #diagrams
Retrieving Information from Data Flow Diagrams (GB, PG, RS, IAT), pp. 22–29.
WCREWCRE-1995-NewcombM #data flow #re-engineering #source code
Reengineering Procedural into Data Flow Programs (PN, PM).
PLDIPLDI-1995-AmagbegnonBG #data flow #implementation
Implementation of the Data-Flow Synchronous Language SIGNAL (PA, LB, PLG), pp. 163–173.
PLDIPLDI-1995-CariniH #constant #interprocedural
Flow-Sensitive Interprocedural Constant Propagation (PRC, MH), pp. 23–31.
SASSAS-1995-Faxen #functional #lazy evaluation #optimisation #source code #using
Optimizing Lazy Functional Programs Using Flow Inference (KFF), pp. 136–153.
SASSAS-1995-Heintze #analysis #control flow #type system
Control-Flow Analysis and Type Systems (NH), pp. 189–206.
SASSAS-1995-JagannathanW #analysis #effectiveness #runtime
Effective Flow Analysis for Avoiding Run-Time Checks (SJ, AKW), pp. 207–224.
STOCSTOC-1995-KargerP #combinator #constraints #multi #optimisation #problem
Adding multiple cost constraints to combinatorial optimization problems, with applications to multicommodity flows (DRK, SAP), pp. 18–25.
CIKMCIKM-1995-TangV #concept #transaction
Transaction-oriented Work-flow Concepts in Inter-Organizational Environments (JT, JV), pp. 250–259.
SEKESEKE-1995-CostagliolaLOT #data flow #graph #parsing #performance
Efficient Parsing of Data-Flow Graphs (GC, ADL, SO, GT), pp. 226–233.
POPLPOPL-1995-DuesterwaldGS #data flow #interprocedural
Demand-driven Computation of Interprocedural Data Flow (ED, RG, MLS), pp. 37–48.
POPLPOPL-1995-JagannathanW #analysis #higher-order
A Unified Treatment of Flow Analysis in Higher-Order Languages (SJ, SW), pp. 393–407.
POPLPOPL-1995-PalsbergO #analysis #type system
A Type System Equivalent to Flow Analysis (JP, PO), pp. 367–378.
SACSAC-1995-Serrano #analysis #compilation #control flow #functional #paradigm
Control flow analysis: a functional languages compilation paradigm (MS), pp. 118–122.
HPCAHPCA-1995-BouraD #modelling
Modeling Virtual Channel Flow Control in Hypercubes (YMB, CRD), pp. 166–175.
HPDCHPDC-1995-Woodward #clustering #distributed #multi #simulation
Distributed Computing on Clusters of Shared-Memory Multiprocessors to Simulate Unsteady Turbulent Flows (PRW), p. 4.
ICLPILPS-1995-Lindgren #analysis #control flow #prolog
Control Flow Analysis of Prolog (TL), pp. 432–446.
DACDAC-1994-LiuSC #clustering #data flow #latency
Data Flow Partitioning for Clock Period and Latency Minimization (LTL, MS, CKC), pp. 658–663.
DATEEDAC-1994-BartelsKSS #reliability #requirements #testing
Flow Management Requirements of a Test Harness for Testing the Reliability of an Electronic CAD System (GB, PK, KS, MS), pp. 605–609.
DATEEDAC-1994-DepuydtGGM #graph #optimisation #pipes and filters #scheduling
Optimal Scheduling and Software Pipelining of Repetitive Signal Flow Graphs with Delay Line Optimization (FD, WG, GG, HDM), pp. 490–494.
DATEEDAC-1994-FranssenNSCM #control flow #optimisation #performance #simulation
Control flow optimization for fast system simulation and storage minimization (FHMF, LN, HS, FC, HDM), pp. 20–24.
DATEEDAC-1994-ZepterG #data flow #generative
Generating Synchronous Timed Descriptions of Digital Receivers from Dynamic Data Flow System Level Configurations (PZ, TG), p. 672.
ICSMEICSM-1994-GuptaS #analysis #data flow #framework
A Framework for Partial Data Flow Analysis (RG, MLS), pp. 4–13.
PLDIPLDI-1994-SabryF #analysis #continuation #data flow #question
Is Continuation-Passing Useful for Data Flow Analysis? (AS, MF), pp. 1–12.
PLDIPLDI-1994-Wismuller #analysis #data flow #debugging #source code #using
Debugging of Globally Optimized Programs Using Data Flow Analysis (RW), pp. 278–289.
STOCSTOC-1994-AwerbuchL #algorithm #approximate #multi #network #problem
Improved approximation algorithms for the multi-commodity flow problem and local competitive routing in dynamic networks (BA, TL), pp. 487–496.
STOCSTOC-1994-Karger #design #network #problem #random
Random sampling in cut, flow, and network design problems (DRK), pp. 648–657.
LISPLFP-1994-StefanescuZ #analysis #equation #framework #functional #higher-order #source code
An Equational Framework for the Flow Analysis of Higher Order Functional Programs (DCS, YZ), pp. 318–327.
ICGTTAGT-1994-Wills #graph #parsing #source code #using
Using Attributed Flow Graph Parsing to Recognize Clichés in Programs (LMW), pp. 170–184.
SEKESEKE-1994-ChiangWBN #automation #documentation #named #programming #visual notation
DocFlow: an event-driven visual programming environment for office automation through document processing (SCYC, JTLW, MPB, PAN), pp. 454–461.
PPDPPLILP-1994-Serrano #analysis #compilation #control flow #functional #higher-order #using
Using Higher-Order Control Flow Analysis When Compiling Functional Languages (MS), pp. 447–448.
POPLPOPL-1994-Maslov #analysis #array #data flow #dependence #lazy evaluation
Lazy Array Data-Flow Dependence Analysis (VM), pp. 311–325.
SACSAC-1994-MortonTBS #2d #adaptation #finite #simulation
An adaptive finite element methodology for 2D simulation of two-phase flow through porous media (DJM, JMT, ATB, PAS), pp. 357–362.
FSEFSE-1994-DwyerC #analysis #concurrent #data flow #source code #verification
Data Flow Analysis for Verifying Properties of Concurrent Programs (MBD, LAC), pp. 62–75.
FSEFSE-1994-HarroldR #data flow #testing
Performing Data Flow Testing on Classes (MJH, GR), pp. 154–163.
CCCC-1994-Binkley #constant #data flow #dependence #graph #interprocedural #using
Interprocedural Constant Propagation using Dependence Graphs and a Data-Flow Model (DB), pp. 374–388.
CCCC-1994-DuesterwaldGS #clustering #congruence #cost analysis #data flow
Reducing the Cost of Data Flow Analysis By Congruence Partitioning (ED, RG, MLS), pp. 357–373.
CCCC-1994-UhlH #analysis
Flow Grammars — a Flow Analysis Methodology (JSU, RNH), pp. 203–217.
ISSTAISSTA-1994-MurrillM #approach #fault #semantics #using
An Experimental Approach to Analyzing Software Semantics Using Error Flow Information (Abstract) (BWM, LJM), p. 200.
DACDAC-1993-SuttonBD #design #using
Design Management Using Dynamically Defined Flows (PRS, JBB, SWD), pp. 648–653.
SIGMODSIGMOD-1993-JinRNS #concurrent #multi
Concurrency Control and Recovery of Multidatabase Work Flows in Telecommunication Applications (WWJ, MR, LN, APS), pp. 456–459.
PEPMPEPM-1993-Vasell #data flow #graph
A Partial Evaluator for Data Flow Graphs (JV), pp. 206–215.
PLDIPLDI-1993-DuesterwaldGS #analysis #array #data flow #framework #optimisation
A Practical Data Flow Framework for Array Reference Analysis and its Use in Optimizations (ED, RG, MLS), pp. 68–77.
STOCSTOC-1993-GargVY #approximate #multi #theorem
Approximate max-flow min-(multi)cut theorems and their applications (NG, VVV, MY), pp. 698–707.
STOCSTOC-1993-KleinPR #composition #multi #network
Excluded minors, network decomposition, and multicommodity flow (PNK, SAP, SR), pp. 682–690.
STOCSTOC-1993-PhillipsW #network #online
Online load balancing and network flow (SP, JW), pp. 402–411.
STOCSTOC-1993-PlotkinT #bound #multi
Improved bounds on the max-flow min-cut ratio for multicommodity flows (SAP, ÉT), pp. 691–697.
ICALPICALP-1993-GargVY #algorithm #approximate #multi #set
Primal-Dual Approximation Algorithms for Integral Flow and Multicut in Trees, with Applications to Matching and Set Cover (NG, VVV, MY), pp. 64–75.
POPLPOPL-1993-ChoiBC #alias #interprocedural #performance #pointer
Efficient Flow-Sensitive Interprocedural Computation of Pointer-Induced Aliases and Side Effects (JDC, MGB, PRC), pp. 232–245.
POPLPOPL-1993-DhamdhereK #analysis #bidirectional #complexity #data flow
Complexity of Bidirectional Data Flow Analysis (DMD, UPK), pp. 397–408.
POPLPOPL-1993-MaydanAL #analysis #array #data flow
Array Data-Flow Analysis and its Use in Array Privatization (DEM, SPA, MSL), pp. 2–15.
ESECESEC-1993-CheungK #analysis #detection #distributed #source code
Tractable Flow Analysis for Anomaly Detection in Distributed Programs (SCC, JK), pp. 283–300.
FSEFSE-1993-Griswold #data flow
Direct Update of Data Flow Representations for a Meaning-Preserving Program Restructuring Tool (WGG), pp. 42–55.
ICSEICSE-1993-FranklW #comparison #data flow #detection #testing
An Analytical Comparison of the Fault-Detecting Ability of Data Flow Testing Techniques (PGF, EJW), pp. 415–424.
ICSEICSE-1993-UralY #data flow #modelling #representation
Modeling Software for Accurate Data Flow Representation (HU, BY), pp. 277–286.
HPDCHPDC-1993-Kung #network #performance
New Flow Control Methods for High-Speed Networks (HTK), p. 4.
PPoPPPPoPP-1993-GrunwaldS #data flow #equation #parallel #source code
Data Flow Equations for Explicitly Parallel Programs (DG, HS), pp. 159–168.
ISSTAISSTA-1993-ThompsonRC #data flow #detection #fault
An Information Flow Model of Fault Detection (MCT, DJR, LAC), pp. 182–192.
PEPMPEPM-1992-RufW #analysis #control flow #higher-order #using
Improving the Accuracy of Higher-Order Specialization using Control Flow Analysis (ER, DW), pp. 67–74.
PLDIPLDI-1992-HanxledenK #constraints #control flow #using
Relaxing SIMD Control Flow Constraints using Loop Transformations (RvH, KK), pp. 188–199.
SASWSA-1992-TangJ #analysis #control flow
Control-Flow Effects for Escape Analysis (YMT, PJ), pp. 313–321.
AdaTRI-Ada-C-1992-MayrhauserH #ada #algorithm #communication #concurrent #graph #testing
An Ada Deadlock Testing Algorithm Based on Control and Communication Flow Graphs (AvM, SMSH), pp. 450–457.
SEKESEKE-1992-ArndtG #composition #data flow #diagrams
Decomposition of Data Flow Diagrams (TA, AG), pp. 560–566.
CCCC-1992-SudholtS #analysis #data flow #interprocedural #object-oriented #on the
On Interprocedural Data Flow Analysis for Object Oriented Languages (MS, CS), pp. 156–162.
HPDCHPDC-1992-VarshneyD #multi #network #on the
On Flow Control in Multimedia Networks (PKV, SD), pp. 197–205.
DACDAC-1991-BoschBW #design #framework
Design Flow Management in the NELSIS CAD Framework (KOtB, PB, PvdW), pp. 711–716.
DACDAC-1991-CardenC #algorithm #approximate #multi #performance #using
A Global Router Using An Efficient Approximate Multicommodity Multiterminal Flow Algorithm (RCCI, CKC), pp. 316–321.
PEPMPEPM-1991-Shivers #analysis #control flow #semantics
The Semantics of Scheme Control-Flow Analysis (OS), pp. 190–198.
STOCSTOC-1991-LeightonMPSTT #algorithm #approximate #multi #performance #problem
Fast Approximation Algorithms for Multicommodity Flow Problems (FTL, FM, SAP, CS, ÉT, ST), pp. 101–111.
FPCAFPCA-1991-ConselD #data flow
For a Better Support of Static Data Flow (CC, OD), pp. 496–519.
PPDPPLILP-1991-HalbwachsRR #data flow #generative #performance #source code
Generating Efficient Code From Data-Flow Programs (NH, PR, CR), pp. 207–218.
POPLPOPL-1991-ChoiCF #automation #data flow #evaluation #graph
Automatic Construction of Sparse Data Flow Evaluation Graphs (JDC, RC, JF), pp. 55–66.
POPLPOPL-1991-PingaliBJMS #algebra #approach #dependence #graph
Dependence Flow Graphs: An Algebraic Approach to Program Dependencies (KP, MB, RJ, MM, PS), pp. 67–78.
ISSTATAV-1991-DuesterwaldS #analysis #concurrent #data flow #framework #using
Concurrency Analysis in the Presence of Procedures Using a Data-Flow Framework (ED, MLS), pp. 36–48.
ISSTATAV-1991-Harrold #data flow #optimisation #testing
The Effects of Optimizing Transformations on Data-Flow Adequate Test Sets (MJH), pp. 130–138.
ISSTATAV-1991-HorgnaL #c #data flow
Data Flow Coverage and the C Language (JRH, SL), pp. 87–97.
ISSTATAV-1991-LongC #analysis #concurrent #data flow
Data Flow Analysis of Concurrent Systems that Use the Rendezvous Model of Synchronization (DLL, LAC), pp. 21–35.
ISSTATAV-1991-OstrandW #analysis #data flow #pointer #test coverage #testing
Data Flow-Based Test Adequacy Analysis for Languages with Pointers (TJO, EJW), pp. 74–86.
ESOPESOP-1990-JourdanP #analysis
Techniques for Improving Grammar Flow Analysis (MJ, DP), pp. 240–255.
ESOPESOP-1990-SteffenKR #graph #program transformation #representation
The Value Flow Graph: A Program Representation for Optimal Program Transformations (BS, JK, OR), pp. 389–405.
STOCSTOC-1990-KleinST #algorithm #approximate #concurrent #performance
Leighton-Rao Might Be Practical: Faster Approximation Algorithms for Concurrent Flow with Uniform Capacities (PNK, CS, ÉT), pp. 310–321.
ICALPICALP-1990-CheriyanHM #question
Can A Maximum Flow be Computed on o(nm) Time? (JC, TH, KM), pp. 235–248.
SEKESEKE-1990-RizmanR #agile #data flow #knowledge-based #prototype #specification #using
Using Data-flow Description Supported By The Rapid Prototyping Tool For Specifying and Developing of Knowledge-Based System (KR, IR), pp. 58–63.
PPDPPLILP-1990-SagivFRW #analysis #approach #data flow #problem
A Logic-Based Approach to Data Flow Analysis Problem (SS, NF, MR, RW), pp. 277–292.
POPLPOPL-1990-MarloweR #algorithm #analysis #data flow #hybrid #incremental #performance
An Efficient Hybrid Algorithm for Incremental Data Flow Analysis (TJM, BGR), pp. 184–196.
DACDAC-1989-PotkonjackR #algorithm #graph #resource management #scheduling
A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs (MP, JMR), pp. 7–12.
POPLPOPL-1989-SagivEFR #analysis #attribute grammar #data flow
Resolving Circularity in Attribute Grammars with Applications to Data Flow Analysis (SS, OE, NF, MR), pp. 36–48.
ESECESEC-1989-Ince #analysis #data flow #design #empirical #metric
Martin J. Shepperd: An Empirical and Theoretical Analysis of an Information Flow-Based System Design Metric (DCI), pp. 86–99.
LICSLICS-1989-RabinovichT #data flow
Nets and Data Flow Interpreters (AMR, BAT), pp. 164–174.
ISSTATAV-1989-HarroldS #data flow #testing
Interprocedual Data Flow Testing (MJH, MLS), pp. 158–167.
PLDIPLDI-1988-Callahan #analysis #data flow #graph #interprocedural #summary
The Program Summary Graph and Flow-Sensitive Interprocedural Data Flow Analysis (DC), pp. 47–56.
PLDIPLDI-1988-JainT #analysis #approach #data flow #multi #performance
An Efficient Approach to Data Flow Analysis in a Multi Pass Global Optimizer (SJ, CLT), pp. 154–163.
PLDIPLDI-1988-Shivers #analysis #control flow
Control-Flow Analysis in Scheme (OS), pp. 164–174.
STOCSTOC-1988-Orlin #algorithm #performance
A Faster Strongly Polynominal Minimum Cost Flow Algorithm (JBO), pp. 377–387.
PLDIBest-of-PLDI-1988-Shivers88a #analysis #control flow #higher-order #lessons learnt
Higher-order control-flow analysis in retrospect: lessons learned, lessons abandoned (with retrospective) (OS), pp. 257–269.
ICALPICALP-1988-Simon #on the #reduction #transitive
On Minimum Flow and Transitive Reduction (KS), pp. 535–546.
OOPSLAOOPSLA-1988-Alabiso #analysis #data flow #design #modelling #object-oriented
Transformation of Data Flow Analysis Models to Object-Oriented Design (BA), pp. 335–354.
POPLPOPL-1988-CarrollR #analysis #data flow #incremental
Incremental Data Flow Analysis via Dominator and Attribute Updates (MDC, BGR), pp. 274–284.
POPLPOPL-1988-MontenyohlW #analysis #continuation #semantics
Correct Flow Analysis in Continuation Semantics (MM, MW), pp. 204–218.
ICLPJICSCP-1988-Steer88 #data flow #diagrams #testing
Testing Data Flow Diagrams with PARLOG (KS), pp. 96–110.
ICLPJICSCP-1988-WarrenHD88 #analysis #logic programming #on the #source code
On the Practicality of Global Flow Analysis of Logic Programs (RW, MVH, SKD), pp. 684–699.
STOCSTOC-1987-GoldbergT #approximate #low cost #problem
Solving Minimum-Cost Flow Problems by Successive Approximation (AVG, RET), pp. 7–18.
ICALPICALP-1987-Kreowski #algebra #specification
Partial Algebras Flow From Algebraic Specifications (HJK), pp. 521–530.
FMVDME-1987-Jones87b #data flow #semantics #using
A Formal Semantics for a DataFlow Machine — Using VDM (KDJ), pp. 331–355.
OOPSLAOOPSLA-1987-GrimshawL #data flow #metaprogramming #named #object-oriented
Mentat: An Object-Oriented Macro Data Flow System (ASG, JWSL), pp. 35–47.
OOPSLAOOPSLA-1987-KaiserG #data flow #object-oriented #programming
MELDing Data Flow and Object-Oriented Programming (GEK, DG), pp. 254–267.
ESECESEC-1987-Szwillus #data flow #editing #interactive #operating system #specification #user interface #using
Using Data Flow Specifications and Interactive Editing in the Operating System User Interface (GS), pp. 149–157.
ICLPSLP-1987-Debray87 #analysis #logic programming #source code
Flow Analysis of a Simple Class of Dynamic Logic Programs (SKD), pp. 307–316.
ICLPSLP-1987-MannilaU87 #analysis #prolog #source code
Flow Analysis of Prolog Programs (HM, EU), pp. 205–214.
DACDAC-1986-OrailogluG #graph #representation
Flow graph representation (AO, DG), pp. 503–509.
STOCSTOC-1986-GoldbergT #approach #problem
A New Approach to the Maximum Flow Problem (AVG, RET), pp. 136–146.
STOCSTOC-1986-KapoorV #algorithm #multi #performance #polynomial #programming
Fast Algorithms for Convex Quadratic Programming and Multicommodity Flows (SK, PMV), pp. 147–159.
STOCSTOC-1986-Siegel #aspect-oriented #data flow
Aspects of Information Flow in VLSI Circuits (Extended Abstract) (AS), pp. 448–459.
POPLPOPL-1986-JohnsonW #approach #incremental #type inference
A Maximum-Flow Approach to Anomaly Isolation in Unification-Based Incremental Type Inference (GFJ, JAW), pp. 44–57.
POPLPOPL-1986-JonesM #analysis #data flow #graph #source code #using
Data Flow Analysis of Applicative Programs Using Minimal Function Graphs (NDJ, AM), pp. 296–306.
DACDAC-1985-AshokCS #data flow #modelling #simulation #using
Modeling switch-level simulation using data flow (VA, RLC, PS), pp. 637–644.
DACDAC-1985-HahnF #music #named #performance #simulation
MuSiC: an event-flow computer for fast simulation of digital systems (WH, KF), pp. 338–344.
DACDAC-1985-OdawaraTO #data flow #diagrams
Diagrammatic function description of microprocessor and data-flow processor (GO, MT, IO), pp. 731–734.
STOCSTOC-1985-Harel #algorithm #graph #linear #problem
A Linear Time Algorithm for Finding Dominators in Flow Graphs and Related Problems (DH), pp. 185–194.
STOCSTOC-1985-SuzukiNS #graph #multi
Multicommodity Flows in Planar Undirected Graphs and Shortest Paths (HS, TN, NS), pp. 195–204.
FPCAFPCA-1985-SkedzielewskiW85 #data flow #graph #optimisation
Data Flow Graph Optimization in IF1 (SKS, MLW), pp. 17–34.
ICSEICSE-1985-BarthGB #architecture #data flow #realtime
The Stream Machine: A Data Flow Architecture for Real-Time Applications (PB, SBG, DRB), pp. 103–110.
ICSEICSE-1985-ClarkePRZ #comparison #data flow
A Comparison of Data Flow Path Selection Criteria (LAC, AP, DJR, SJZ), pp. 244–251.
ICSEICSE-1985-GirgisW #analysis #data flow #testing #using
An Integrated System for Program Testing Using Weak Mutation and Data Flow Analysis (MRG, MRW), pp. 313–319.
SOSPSOSP-1985-Reinhardt #approach #data flow #multi
A Data-Flow Approach to Multitasking ob CRAY X-MP Compputers (SKR), pp. 107–114.
DACDAC-1984-JhonK #analysis #concurrent #data flow #design
Deadlock analysis in the design of data-flow circuits (CSJ, RMK), pp. 705–707.
DACDAC-1984-SaucierB #control flow #using
VLSI test expertise system using a control flow model (GS, CB), pp. 497–503.
PLDISCC-1984-CooperK #interprocedural #performance #summary
Efficient computation of flow insensitive interprocedural summary information (KDC, KK), pp. 247–258.
PLDISCC-1984-Zadeck #analysis #data flow #editing #incremental
Incremental data flow analysis in a structured program editor (FKZ), pp. 132–143.
LISPLFP-1984-Smolka #data flow #logic programming #source code
Making Control and Data Flow in Logic Programs Explicit (GS), pp. 311–322.
ICSEICSE-1984-Forman #algebra #data flow #detection
An Algebra for Data Flow Anomaly Detection (IRF), pp. 278–287.
ICSEICSE-1984-Tai #complexity #data flow #graph #metric
A Program Complexity Metric Based on Data Flow Information in Control Graphs (KCT), pp. 239–249.
ICLPILPC-1984-Kacsuk84 #data flow #interpreter #parallel #prolog
A Highly Parallel Prolog Interpreter Based on the Generalized Data Flow Model (PK), pp. 195–205.
STOCSTOC-1983-Gabow #network #performance #problem #reduction
An Efficient Reduction Technique for Degree-Constrained Subgraph and Bidirected Network Flow Problems (HNG), pp. 448–456.
POPLPOPL-1983-Ryder #analysis #data flow #incremental
Incremental Data Flow Analysis (BGR), pp. 167–176.
PLDISCC-1982-ChowR #data flow #design
The Design of a Data Flow Analyzer (ALC, AR), pp. 106–113.
PLDISCC-1982-Sethi #aspect-oriented #compilation #control flow #semantics #summary
Control Flow Aspects of Semantics Directed Compiling (Summary) (RS), pp. 245–260.
POPLPOPL-1982-JohnsonF #editing
Non-Syntactic Attribute Flow in Language Based Editors (GFJ, CNF), pp. 185–195.
POPLPOPL-1982-JonesM #analysis #approach #data flow #data type #flexibility #interprocedural #recursion #source code
A Flexible Approach to Interprocedural Data Flow Analysis and Programs with Recursive Data Structures (NDJ, SSM), pp. 66–74.
ICSEICSE-1982-Babb #data flow #data-driven #diagrams #implementation
Data-Driven Implementation of Data Flow Diagrams (RGBI), pp. 309–318.
ICSEICSE-1982-Forman #analysis #composition #data flow
Global Data Flow Analysis by Decomposition into Primes (IRF), pp. 386–392.
ICSEICSE-1982-Ito #automation #documentation #image
Automatic Input of Flow Chart in Document Image (SI), pp. 319–329.
ICSEICSE-1982-RappsW #analysis #data flow #testing
Data Flow Analysis Techniques for Test Data Selection (SR, EJW), pp. 272–278.
ICALPICALP-1981-Jones #analysis
Flow Analysis of λ Expressions (Preliminary Version) (NDJ), pp. 114–128.
ICALPICALP-1981-Sharir #analysis #data flow #source code
Data Flow Analysis of Applicative Programs (MS), pp. 98–113.
POPLPOPL-1981-Myers #algorithm #data flow #interprocedural #precise
A Precise Interprocedural Data Flow Algorithm (EWM), pp. 219–230.
SIGMODSIGMOD-1980-BoralD #data flow #database #design
Design Considerations for Data-flow Database Machines (HB, DJD), pp. 94–104.
STOCSTOC-1980-Strong #execution #graph
Vector Execution of Flow Graphs (Extended Abstract) (HRS), pp. 108–116.
LISPLISP-1980-Marti #compilation #concurrent #control flow #lisp
Compilation Techniques for a Control-Flow Concurrent LISP System (JM), pp. 203–207.
POPLPOPL-1980-HolleyR #data flow #problem
Qualified Data Flow Problems (LHH, BKR), pp. 68–82.
POPLPOPL-1980-Weihl #analysis #data flow #interprocedural #pointer
Interprocedural Data Flow Analysis in the Presence of Pointers, Procedure Variables and Label Variables (WEW), pp. 83–94.
STOCSTOC-1979-GalilN #network
Network Flow and Generalized Path Compression (ZG, AN), pp. 13–26.
POPLPOPL-1979-JonesM #analysis #optimisation
Flow Analysis and Optimization of Lisp-Like Structures (NDJ, SSM), pp. 244–256.
POPLPOPL-1979-Reif #analysis #communication #data flow #process
Data Flow Analysis of Communicating Processes (JHR), pp. 257–268.
POPLPOPL-1979-ReitmanA #approach #axiom #data flow #source code
Certifying Information Flow Properties of Programs: An Axiomatic Approach (RPR, GRA), pp. 283–290.
ICSEICSE-1979-BelfordBH #case study #development #effectiveness #re-engineering
Central Flow Control Software Development: A Case Study of the Effectiveness of Software Engineering Techniques (PCB, RAB, TLH), pp. 85–93.
ICALPICALP-1978-Schnorr #multi #network #symmetry
Multiterminal Network Flow and Connectivity in Unsymmetrical Networks (CPS), pp. 425–439.
POPLPOPL-1978-Kosinki #data flow #semantics #source code
A Straightforward Denotational Semantics for Non-Determinant Data Flow Programs (PRK), pp. 214–221.
POPLPOPL-1978-Rosen #agile #analysis #data flow #monad
Monoids for Rapid Data Flow Analysis (BKR), pp. 47–59.
POPLPOPL-1977-Barth #algorithm #analysis #data flow #interprocedural
An Interprocedural Data Flow Analysis Algorithm (JMB), pp. 119–131.
POPLPOPL-1977-KennedyZ #analysis #control flow #graph grammar
Applications of Graph Grammar for Program Control Flow Analysis (KK, LZ), pp. 72–85.
POPLPOPL-1977-Rosen #control flow
Applications of High-Level Control Flow (BKR), pp. 38–47.
STOCSTOC-1976-FongU #graph
Finding the Depth of a Flow Graph (ACF, JDU), pp. 121–125.
POPLPOPL-1976-Kosinski #data flow #programming #semantics
Mathematical Semantics and Data Flow Programming (PRK), pp. 175–184.
ICSEICSE-1976-FosdickO #data flow #detection #interprocedural
The Detection of Anomalous Interprocedural Data Flow (LDF, LJO), pp. 624–628.
ICSEICSE-1976-ShankarC #abstraction #specification
Dat Flow, Abstraction Levels and Specifications for Communications Switching Systems (KSS, CSC), pp. 585–591.
SOSPSOSP-J-1975-Denning76 #data flow
A Lattice Model of Secure Information Flow (DED), pp. 236–243.
STOCSTOC-1975-AhoU #graph
Node Listings for Reducible Flow Graphs (AVA, JDU), pp. 177–185.
POPLPOPL-1975-GrahamW #algorithm #analysis #linear #performance
A Fast and Usually Linear Algorithm for Global Flow Analysis (SLG, MNW), pp. 22–34.
POPLPOPL-1975-Kennedy #analysis #data flow
Node Listings Applied to Data Flow Analysis (KK), pp. 10–21.
ICALPICALP-1974-MichelisS #diagrams #semantics
Semantic Characterization of Flow Diagrams and Their Decomposability (GDM, CS), pp. 81–96.
STOCSTOC-1973-Tarjan #graph #testing
Testing Flow Graph Reducibility (RET), pp. 96–107.
POPLPOPL-1973-HechtU #algorithm #analysis #problem
Analysis of a Simple Algorithm for Global Flow Problems (MSH, JDU), pp. 207–217.
DACDAC-1972-SandersonM #diagrams #logic
A logic and signal flow diagram subsystem (GS, AM), pp. 250–257.
STOCSTOC-1972-HechtU #graph
Flow Graph Reducibility (MSH, JDU), pp. 238–250.
DACDAC-1970-SmithT #heuristic #scalability
A simplification heuristic for large flow tables (RJSI, JHT), pp. 47–53.
STOCSTOC-1970-Strong #equation #recursion
Translating Recursion Equations into Flow Charts (HRSJ), pp. 184–197.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.