BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
1 × Italy
10 × Germany
13 × France
17 × USA
Collaborated with:
G.D.Micheli E.Macii A.Bogliolo I.Loi A.Bartolini M.Poncino A.Marongiu A.Macii A.Rahimi D.Bertozzi R.K.Gupta F.Angiolini S.Murali D.Brunelli M.Dalpasso A.Acquaviva P.Burgio M.Ruggiero B.Riccò D.Atienza L.Thiele T.Simunic F.Poletti M.R.Kakoee C.Seiculescu M.Milano P.Babighian C.Weis R.Scarsi P.Marchal E.Farella C.Moser F.Paterna G.Paci D.Bruni F.Conti N.Wehn M.Magno T.T.Ye A.Tilli A.Pullini D.Rossi F.Casamassima M.Lombardi G.Tagliavini A.V.Sathanur L.Macchiarulo M.Schaffner D.Bortolotti A.Calimera A.Ivaldi F.K.Gürkaynak A.Smolic M.Cacciari M.Donno P.W.Glynn E.Chung F.Catthoor G.Tecchiolli F.Beneventi P.Mercati T.S.Rosing M.Sadri D.Melpignano E.Flamand A.Ghofrani K.Cheng M.Olivieri G.Odasso L.Cavigelli B.M.Al-Hashimi V.Bertacco F.Papariello G.Desoli G.Castelli D.Dutoit S.Carta J.M.Mendias E.Azarkhish L.Guardati D.Fuin D.Carli M.Ruggeri A.Bonfietti R.Grottesi S.Morigi B.Stefano S.Künzli A.Jalabert A.Raghunathan S.Ravi M.Favalli B.Kumthekar F.Somenzi G.A.Paleologo D.Cesarini C.Conficoni H.Kaeslin C.Cavazzoni P.Vivet R.Danilo P.Coussy M.Jung R.Diversi J.Furst A.K.Coskun D.Ludovici A.Strano G.N.Gaydadjiev B.Bougard D.Keitel-Schulz N.Checka M.H.B.Jamaa A.Nahapetian P.Lombardo M.Sarrafzadeh P.Meloni L.Raffo M.Sama V.Pacella C.Stagni C.Guiducci M.Lanzoni F.Menichelli L.Bisdounis M.Loghi R.Zafalon G.Martin R.Seepold T.Zhang Y.Lu D.Sciuto C.Silvano M.Mangia R.Rovatti G.Setti M.A.Lastras-Montaño A.Perelli C.Caione L.D.Marchi A.Marzani A.S.Weddell G.V.Merrett S.J.Marinkovic E.M.Popovici B.O'Flynn A.Caprara S.Ogg E.Valli A.Yakovlev C.D'Alessandro S.Medardoni G.Strano C.Pistritto J.Ceng R.Leupers F.Ferrari C.Ferri E.Omerbegovic F.Pro A.Lioy A.Gomez C.Pinto H.Fatemi J.P.d.Gyvez A.Ibrahim P.Hager M.Arditi J.L.Abellán J.F.Peinador M.E.Acacio A.Mutapcic R.Gupta S.P.Boyd P.G.D.Valle T.Basten A.Chandrakasan M.Lindwer J.Liu R.Min F.Zhao J.I.Gómez L.Piñuel H.Corporaal A.Kurth K.Wolters B.Forsberg A.Capotondi T.Grosser B.Jego T.Lepley G.Haugou F.Clermidy M.Facchini T.Carlson A.Vignon M.Palkovic W.Dehaene F.Mulas M.Pittau M.Buttu I.A.Khatib M.Bechara H.Khalifeh A.Jantsch R.Nabiev N.Chandramoorthy K.M.Irick S.Advani S.A.Habsi M.Cotter J.Sampson V.Narayanan Jonathan Balkind Katie Lim F.Gao Grigory Chirkov A.Li Alexey Lavrov Tri M. Nguyen 0002 Yaosheng Fu Florian Zaruba Kunal Gulati D.Wentzlaff
Talks about:
power (39) design (24) system (22) energi (22) chip (20) base (19) effici (18) memori (17) low (17) network (15)

Person: Luca Benini

DBLP DBLP: Benini:Luca

Contributed to:

DAC 20152015
DATE 20152015
HPCA 20152015
DAC 20142014
DATE 20142014
DAC 20132013
DATE 20132013
DAC 20122012
DATE 20122012
DATE 20112011
DAC 20102010
DATE 20102010
CAV 20092009
DAC 20092009
DATE 20092009
DATE 20082008
ICLP 20082008
DATE 20072007
DAC 20062006
DATE 20062006
DATE Designers’ Forum 20062006
DATE 20052005
DAC 20042004
DATE DF 20042004
DATE v1 20042004
DATE v2 20042004
DAC 20032003
DATE 20032003
DAC 20022002
DATE 20022002
DAC 20012001
DATE 20012001
DAC 20002000
DATE 20002000
DAC 19991999
DATE 19991999
DAC 19981998
DATE 19981998
DAC 19971997
ED&TC 19971997
DAC 19961996
CC 20202020
ASPLOS 20202020

Wrote 140 papers:

DAC-2015-CavigelliMB #embedded #network #realtime
Accelerating real-time embedded scene labeling with convolutional networks (LC, MM, LB), p. 6.
DAC-2015-RahimiCMGB #clustering #embedded #hardware #memory management #scheduling #variability
Task scheduling strategies to mitigate hardware variability in embedded shared memory clusters (AR, DC, AM, RKG, LB), p. 6.
DATE-2015-0001B #clustering #energy #manycore #performance
A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters (FC, LB), pp. 683–688.
DATE-2015-AzarkhishRLB #memory management #performance
High performance AXI-4.0 based interconnect for extensible smart memory cubes (EA, DR, IL, LB), pp. 1317–1322.
DATE-2015-BortolottiMBRSB #monitoring #power management
An ultra-low power dual-mode ECG monitor for healthcare and wellness (DB, MM, AB, RR, GS, LB), pp. 1611–1616.
DATE-2015-ConficoniBTTB #energy
Energy-aware cooling for hot-water cooled supercomputers (CC, AB, AT, GT, LB), pp. 1353–1358.
DATE-2015-GomezPBRBFG #design #energy #platform
Reducing energy consumption in microcontroller-based platforms with low design margin co-processors (AG, CP, AB, DR, LB, HF, JPdG), pp. 269–272.
DATE-2015-GuardatiCFB #framework
Paper, pen and ink: an innovative system and software framework to assist writing rehabilitation (LG, FC, EF, LB), pp. 1473–1478.
DATE-2015-IbrahimHBAABM #3d
Tackling the bottleneck of delay tables in 3D ultrasound imaging (AI, PH, AB, FA, MA, LB, GDM), pp. 1683–1688.
DATE-2015-RahimiGCBG #approximate #energy #memory management
Approximate associative memristive memory for energy-efficient GPUs (AR, AG, KTC, LB, RKG), pp. 1497–1502.
DATE-2015-SchaffnerGSB #architecture #image #linear
DRAM or no-DRAM?: exploring linear solver architectures for image domain warping in 28 nm CMOS (MS, FKG, AS, LB), pp. 707–712.
HPCA-2015-ChandramoorthyT #architecture
Exploring architectural heterogeneity in intelligent vision systems (NC, GT, KMI, AP, SA, SAH, MC, JS, VN, LB), pp. 1–12.
DAC-2014-RahimiGLCBG #architecture #collaboration #compilation #energy
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing (AR, AG, MALM, KTC, LB, RKG), p. 6.
DAC-2014-SchaffnerGSKB #approximate #complexity #linear #realtime #video
An Approximate Computing Technique for Reducing the Complexity of a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing (MS, FKG, AS, HK, LB), p. 6.
DATE-2014-BartoliniCCTB #energy
Unveiling Eurora — Thermal and power characterization of the most energy-efficient supercomputer in the world (AB, MC, CC, GT, LB), pp. 1–6.
DATE-2014-BeneventiBVDB #analysis #identification #logic
Thermal analysis and model identification techniques for a logic + WIDEIO stacked DRAM test chip (FB, AB, PV, DD, LB), pp. 1–4.
DATE-2014-BortolottiBWRB #architecture #hybrid #manycore #memory management #power management #scalability
Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (DB, AB, CW, DR, LB), pp. 1–6.
DATE-2014-BurgioDMCB #clustering #hardware #programmable #scalability
A tightly-coupled hardware controller to improve scalability and programmability of shared-memory heterogeneous clusters (PB, RD, AM, PC, LB), pp. 1–4.
DATE-2014-BurgioTCMB #clustering #embedded #hardware #memory management #parallel
Tightly-coupled hardware support to dynamic parallelism acceleration in embedded shared memory clusters (PB, GT, FC, AM, LB), pp. 1–6.
DATE-2014-CasamassimaFB #network #power management
Context aware power management for motion-sensing body area network nodes (FC, EF, LB), pp. 1–6.
DATE-2014-LoiB #multi
A multi banked — Multi ported — Non blocking shared L2 cache for MPSoC platforms (IL, LB), pp. 1–6.
DATE-2014-MercatiBPRB #android #mobile #reliability
A Linux-governor based Dynamic Reliability Manager for android mobile devices (PM, AB, FP, TSR, LB), pp. 1–4.
DATE-2014-RahimiBG #energy #fault
Temporal memoization for energy-efficient timing error recovery in GPGPUs (AR, LB, RKG), pp. 1–6.
DATE-2014-Sadri0WWB #3d #energy #optimisation #using
Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh (MS, MJ, CW, NW, LB), pp. 1–4.
DAC-2013-MercatiBPRB #manycore #reliability #user interface
Workload and user experience-aware dynamic reliability management in multicore processors (PM, AB, FP, TSR, LB), p. 6.
DAC-2013-RahimiBG #architecture
Aging-aware compiler-directed VLIW assignment for GPGPU architectures (AR, LB, RKG), p. 6.
DATE-2013-BurgioTMB #clustering #fine-grained #memory management
Enabling fine-grained OpenMP tasking on tightly-coupled shared memory clusters (PB, GT, AM, LB), pp. 1504–1509.
DATE-2013-DiversiBTBB #identification
SCC thermal model identification via advanced bias-compensated least-squares (RD, AB, AT, FB, LB), pp. 230–235.
DATE-2013-PerelliCMBMB #design #health #monitoring #power management
Design of an ultra-low power device for aircraft structural health monitoring (AP, CC, LDM, DB, AM, LB), pp. 1127–1130.
DATE-2013-RahimiBG #adaptation #approach
Hierarchically focused guardbanding: an adaptive approach to mitigate PVT variations and aging (AR, LB, RKG), pp. 1695–1700.
DATE-2013-RahimiMBGB #clustering
Variation-tolerant OpenMP tasking on tightly-coupled processor clusters (AR, AM, PB, RKG, LB), pp. 541–546.
DATE-2013-WeddellMMBAB #energy #multi #overview
A survey of multi-source energy harvesting systems (ASW, MM, GVM, DB, BMAH, LB), pp. 905–908.
DAC-2012-MelpignanoBFJLHCD #embedded #evaluation #framework #manycore #performance #platform #visual notation
Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications (DM, LB, EF, BJ, TL, GH, FC, DD), pp. 1137–1142.
DATE-2012-AbellanPABBMB #clustering #communication #design #framework
Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs (JLA, JFP, MEA, DB, DB, AM, LB), pp. 491–496.
DATE-2012-BartoliniSFCB #energy #performance #scalability
Quantifying the impact of frequency scaling on the energy efficiency of the single-chip cloud computer (AB, MS, JNF, AKC, LB), pp. 181–186.
DATE-2012-BeniniFFM #composition #ecosystem #embedded #named #scalability
P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator (LB, EF, DF, DM), pp. 983–987.
DATE-2012-KakoeeLB #architecture #clustering #communication #latency
A resilient architecture for low latency communication in shared-L1 processor clusters (MRK, IL, LB), pp. 887–892.
DATE-2012-MagnoMBPOB #network #power management
Smart power unit with ultra low power radio trigger capabilities for wireless sensor networks (MM, SJM, DB, EMP, BO, LB), pp. 75–80.
DATE-2012-MarongiuBB #clustering #embedded #lightweight #parallel #performance
Fast and lightweight support for nested parallelism on cluster-based embedded many-cores (AM, PB, LB), pp. 105–110.
DATE-2012-RahimiBG #analysis
Analysis of instruction-level vulnerability to dynamic voltage and temperature variations (AR, LB, RKG), pp. 1102–1105.
DATE-2012-WeisLBW #3d #energy #performance
An energy efficient DRAM subsystem for 3D integrated SoCs (CW, IL, LB, NW), pp. 1138–1141.
DATE-2011-BartoliniCTB #distributed #energy #multi #predict #self
A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores (AB, MC, AT, LB), pp. 830–835.
DATE-2011-CarliBBR #effectiveness #energy #multi #power management
An effective multi-source energy harvester for low power applications (DC, DB, LB, MR), pp. 836–841.
DATE-2011-KakoeeBB #communication #named #network #reliability
ReliNoC: A reliable network for priority-based on-chip communication (MRK, VB, LB), pp. 667–672.
DATE-2011-PaternaACPDB #algorithm #energy #manycore #online #performance
An efficient on-line task allocation algorithm for QoS and energy efficiency in multicore multimedia platforms (FP, AA, AC, FP, GD, LB), pp. 100–105.
DATE-2011-RahimiLKB #clustering #network
A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters (AR, IL, MRK, LB), pp. 491–496.
DATE-2011-WeisWLB #3d #design
Design space exploration for 3D-stacked DRAMs (CW, NW, IL, LB), pp. 389–394.
DAC-2010-MicheliSMBAP #network #research
Networks on Chips: from research to products (GDM, CS, SM, LB, FA, AP), pp. 300–305.
DATE-2010-BonfiettiBLM #approach #manycore #performance #scheduling
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms (AB, LB, ML, MM), pp. 897–902.
DATE-2010-GrottesiMRB #animation #parallel
Parallel subdivision surface rendering and animation on the Cell BE processor (RG, SM, MR, LB), pp. 178–183.
DATE-2010-LoiB #3d #distributed #framework #interface #manycore #memory management #performance
An efficient distributed memory interface for many-core platform with 3D stacked DRAM (IL, LB), pp. 99–104.
DATE-2010-LudoviciSGBB #design #effectiveness #flexibility
Design space exploration of a mesochronous link for cost-effective and flexible GALS NOCs (DL, AS, GNG, LB, DB), pp. 679–684.
DATE-2010-MarongiuRB #manycore #memory management #performance
Efficient OpenMP data mapping for multicore platforms with vertically stacked memory (AM, MR, LB), pp. 105–110.
DATE-2010-SeiculescuMBM
A method to remove deadlocks in Networks-on-Chips with Wormhole flow control (CS, SM, LB, GDM), pp. 1625–1628.
CAV-2009-Benini #manycore #performance #predict #question
Predictability vs. Efficiency in the Multicore Era: Fight of Titans or Happy Ever after? (LB), p. 50.
DAC-2009-SeiculescuMBM #synthesis
NoC topology synthesis for supporting shutdown of voltage islands in SoCs (CS, SM, LB, GDM), pp. 822–825.
DATE-2009-BartoliniRB #analysis #quality #scalability #visual notation
Visual quality analysis for dynamic backlight scaling in LCD systems (AB, MR, LB), pp. 1428–1433.
DATE-2009-FacchiniCVPCDBM #3d #evaluation #mobile #performance
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications (MF, TC, AV, MP, FC, WD, LB, PM), pp. 923–928.
DATE-2009-LoiAB #configuration management #interface #network #synthesis
Synthesis of low-overhead configurable source routing tables for network interfaces (IL, FA, LB), pp. 262–267.
DATE-2009-LombardiMB #clustering #manycore #realtime #robust #scheduling
Robust non-preemptive hard real-time scheduling for clustered multicore platforms (ML, MM, LB), pp. 803–808.
DATE-2009-MarongiuB #memory management #performance
Efficient OpenMP support and extensions for MPSoCs with explicitly managed memory hierarchy (AM, LB), pp. 809–814.
DATE-2009-PaciBB #adaptation #bias #communication #effectiveness #variability
Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels (GP, DB, LB), pp. 1404–1409.
DATE-2009-PaternaBAPDO #adaptation #multi
Adaptive idleness distribution for non-uniform aging tolerance in MultiProcessor Systems-on-Chip (FP, LB, AA, FP, GD, MO), pp. 906–909.
DATE-2009-SathanurPBMM #clustering #design #variability
Physically clustered forward body biasing for variability compensation in nanometer CMOS design (AVS, AP, LB, GDM, EM), pp. 154–159.
DATE-2009-SeiculescuMBM #3d #network #synthesis
SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips (CS, SM, LB, GDM), pp. 9–14.
DATE-2008-BougardMBKC #3d #how #integration #topic
HOT TOPIC — 3D Integration or How to Scale in the 21st Century (BB, PM, LB, DKS, NC), p. 1516.
DATE-2008-BrunelliBMT #energy #performance
An Efficient Solar Energy Harvester for Wireless Sensor Nodes (DB, LB, CM, LT), pp. 104–109.
DATE-2008-CalimeraBM #constraints #performance #power management
Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints (AC, LB, EM), pp. 973–978.
DATE-2008-LoiAB #3d
Developing Mesochronous Synchronizers to Enable 3D NoCs (IL, FA, LB), pp. 1414–1419.
DATE-2008-MoserTBB #complexity #robust
Robust and Low Complexity Rate Control for Solar Powered Sensors (CM, LT, DB, LB), pp. 230–235.
DATE-2008-MulasPBCABAM #architecture #multi #policy #streaming
Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures (FM, MP, MB, SC, AA, LB, DA, GDM), pp. 734–739.
DATE-2008-MuraliMAGBBM #manycore #optimisation #using
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (SM, AM, DA, RG, SPB, LB, GDM), pp. 110–115.
DATE-2008-OggVAYDB
Serialized Asynchronous Links for NoC (SO, EV, BMAH, AY, CD, LB), pp. 1003–1008.
DATE-2008-SathanurPBMMP #algorithm #framework #scalability
A Scalable Algorithmic Framework for Row-Based Power-Gating (AVS, AP, LB, AM, EM, MP), pp. 379–384.
DATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
ICLP-2008-BeniniBM #constraints #multi #platform #policy #programming #resource management #using
Resource Management Policy Handling Multiple Use-Cases in MPSoC Platforms Using Constraint Programming (LB, DB, MM), pp. 470–484.
DATE-2007-AngioliniJABM #design #fault tolerance #interactive
Interactive presentation: Improving the fault tolerance of nanometric PLA designs (FA, MHBJ, DA, LB, GDM), pp. 570–575.
DATE-2007-MedardoniRBBSP #communication #in memory #industrial #interactive #memory management #platform
Interactive presentation: Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms (SM, MR, DB, LB, GS, CP), pp. 660–665.
DATE-2007-MoserTBB #adaptation #energy #power management
Adaptive power management in energy harvesting systems (CM, LT, DB, LB), pp. 773–778.
DATE-2007-NahapetianLABS #configuration management #energy #network
Dynamic reconfiguration in sensor networks with regenerative energy sources (AN, PL, AA, LB, MS), pp. 1054–1059.
DATE-2007-SathanurCBMMP #bound #clustering #interactive #performance
Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing (AVS, AC, LB, AM, EM, MP), pp. 1544–1549.
DAC-2006-AtienzaVPPBMM #framework #multi #performance
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip (DA, PGDV, GP, FP, LB, GDM, JMM), pp. 618–623.
DAC-2006-KhatibPBBBKJN #analysis #architecture #design #monitoring #multi #realtime
A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration (IAK, FP, DB, LB, MB, HK, AJ, RN), pp. 125–130.
DAC-2006-MuraliABM #fault tolerance #multi #network
A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (SM, DA, LB, GDM), pp. 845–848.
DATE-2006-AngioliniCLFFB #design #framework
An integrated open framework for heterogeneous MPSoC design space exploration (FA, JC, RL, FF, CF, LB), pp. 1145–1150.
DATE-2006-AngioliniMCBR #layout
Contrasting a NoC and a traditional interconnect fabric with layout awareness (FA, PM, SC, LB, LR), pp. 124–129.
DATE-2006-BabighianBMM
Enabling fine-grain leakage management by voltage anchor insertion (PB, LB, AM, EM), pp. 868–873.
DATE-2006-Benini #design
Application specific NoC design (LB), pp. 491–495.
DATE-2006-KunzliPBT #analysis #formal method #performance #simulation
Combining simulation and formal methods for system-level performance analysis (SK, FP, LB, LT), pp. 236–241.
DATE-2006-PaciMPB #design #power management
Exploring “temperature-aware” design in low-power MPSoCs (GP, PM, FP, LB), pp. 838–843.
DATE-DF-2006-SamaPFBR #3d #low cost #named #power management
3dID: a low-power, low-cost hand motion capture device (MS, VP, EF, LB, BR), pp. 136–141.
DATE-2005-StagniGLBR #design #detection
Hardware-Software Design of a Smart Sensor for Fully-Electronic DNA Hybridization Detection (CS, CG, ML, LB, BR), pp. 198–203.
DAC-2004-FrancescoMABCM #approach #hardware #runtime
An integrated hardware/software approach for run-time scratchpad management (FP, PM, DA, LB, FC, JMM), pp. 238–243.
DATE-DF-2004-MenichelliOBDB #architecture #design #multi #power management
A Simulation-Based Power-Aware Architecture Exploration of a Multiprocessor System-on-Chip Design (FM, MO, LB, MD, LB), pp. 312–317.
DATE-v1-2004-BabighianBM #algorithm #scalability
A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks (PB, LB, EM), pp. 500–505.
DATE-v1-2004-BabighianBM04a #distributed
Sizing and Characterization of Leakage-Control Cells for Layout-Aware Distributed Power-Gating (PB, LB, EM), pp. 720–723.
DATE-v1-2004-BeniniIMM #design #memory management #metaprogramming
Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning (LB, AI, AM, EM), pp. 698–699.
DATE-v2-2004-JalabertMBM #network
×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip (AJ, SM, LB, GDM), pp. 884–889.
DATE-v2-2004-LoghiABBZ #communication
Analyzing On-Chip Communication in a MPSoC Environment (ML, FA, DB, LB, RZ), pp. 752–757.
DAC-2003-BeniniMMOPP #analysis #design #difference #energy
Energy-aware design techniques for differential power analysis protection (LB, AM, EM, EO, FP, MP), pp. 36–41.
DAC-2003-DonnoIBM #optimisation
Clock-tree power optimization based on RTL clock-gating (MD, AI, LB, EM), pp. 622–627.
DATE-2003-BastenBCLLMZ #scalability
Scaling into Ambient Intelligence (TB, LB, AC, ML, JL, RM, FZ), pp. 10076–10083.
DATE-2003-BertozziRBR #embedded #energy #optimisation #performance #protocol
Transport Protocol Optimization for Energy Efficient Wireless Embedded Systems (DB, AR, LB, SR), pp. 10706–10713.
DATE-2003-MarchalGPBBCC #energy #memory management #multi #platform
SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms (PM, JIG, LP, DB, LB, FC, HC), pp. 10516–10523.
DATE-2003-YeBM #analysis #communication
Packetized On-Chip Interconnect Communication Analysis for MPSoC (TTY, LB, GDM), pp. 10344–10349.
DAC-2002-YeMB #analysis #network #power management
Analysis of power consumption on switch fabrics in network routers (TTY, GDM, LB), pp. 524–529.
DATE-2002-BeniniBMM #embedded #energy
Hardware-Assisted Data Compression for Energy Minimization in Systems with Embedded Processors (LB, DB, AM, EM), pp. 449–453.
DATE-2002-BertozziBM #encoding #fault #power management
Low Power Error Resilient Encoding for On-Chip Data Buses (DB, LB, GDM), pp. 102–109.
DATE-2002-MicheliB #design #network #paradigm
Networks on Chip: A New Paradigm for Systems on Chip Design (GDM, LB), pp. 418–419.
DAC-2001-BeniniMMMP #architecture #embedded #layout #memory management #synthesis
From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip (LB, LM, AM, EM, MP), pp. 784–789.
DAC-2001-BruniBB #design #statistics #synthesis
Statistical Design Space Exploration for Application-Specific Unit Synthesis (DB, AB, LB), pp. 641–646.
DAC-2001-SimunicBAGM #power management #scalability
Dynamic Voltage Scaling and Power Management for Portable Systems (TS, LB, AA, PWG, GDM), pp. 524–529.
DATE-2001-AcquavivaBR #adaptation #algorithm #multi #power management #streaming
An adaptive algorithm for low-power streaming multimedia processing (AA, LB, BR), pp. 273–279.
DATE-2001-BeniniCMMPS #scheduling
Extending lifetime of portable systems by battery scheduling (LB, GC, AM, EM, MP, RS), pp. 197–203.
DATE-2001-MacchiaruloBM #generative #layout #on the fly
On-the-fly layout generation for PTL macrocells (LM, LB, EM), pp. 546–551.
DATE-2001-MartinSZBM #component #design
Component selection and matching for IP-based design (GM, RS, TZ, LB, GDM), pp. 40–46.
DAC-2000-BeniniMMP #embedded #optimisation #synthesis
Synthesis of application-specific memories for power optimization in embedded systems (LB, AM, EM, MP), pp. 300–303.
DAC-2000-DalpassoBB #hardware
Hardware/software IP protection (MD, AB, LB), pp. 593–596.
DATE-2000-BeniniCMMPS #estimation
A Discrete-Time Battery Model for High-Level Power Estimation (LB, GC, AM, EM, MP, RS), pp. 35–39.
DATE-2000-DalpassoBBF #design #distributed #fault #simulation
Virtual Fault Simulation of Distributed IP-Based Designs (MD, AB, LB, MF), pp. 99–103.
DATE-2000-LuCSMB #algorithm #comparison #power management
Quantitative Comparison of Power Management Algorithms (YHL, EYC, TS, GDM, LB), pp. 20–26.
DATE-2000-SimunicBGM #power management
Dynamic Power Management of Laptop Hard Disk (TS, LB, PWG, GDM), p. 736.
DAC-1999-BeniniMMOP #algorithm #approximate #component #kernel #optimisation
Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms (LB, GDM, EM, GO, MP), pp. 247–252.
DAC-1999-BeniniMMPS #communication #interface #power management #synthesis
Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses (LB, AM, EM, MP, RS), pp. 128–133.
DAC-1999-DalpassoBB #design #distributed #simulation
Virtual Simulation of Distributed IP-based Designs (MD, AB, LB), pp. 50–55.
DAC-1999-SimunicBM #embedded #energy #simulation
Cycle-Accurate Simulation of Energy Consumption in Embedded Systems (TS, LB, GDM), pp. 867–872.
DATE-1999-BeniniMMMPS #power management
Glitch Power Minimization by Gate Freezing (LB, GDM, AM, EM, MP, RS), pp. 163–167.
DATE-1999-ChungBBM #power management
Dynamic Power Management for non-stationary service requests (EYC, LB, AB, GDM), pp. 77–81.
DATE-1999-DalpassoBB #design #distributed #specification #validation
Specification and Validation of Distributed IP-Based Designs with JavaCAD (MD, AB, LB), pp. 684–688.
DAC-1998-BeniniMLMOP #kernel #optimisation
Computational Kernels and their Application to Sequential Power Optimization (LB, GDM, AL, EM, GO, MP), pp. 764–769.
DAC-1998-KumthekarBMS #optimisation
In-Place Power Optimization for LUT-Based FPGAs (BK, LB, EM, FS), pp. 718–721.
DAC-1998-PaleologoBBM #optimisation #policy #power management
Policy Optimization for Dynamic Power Management (GAP, LB, AB, GDM), pp. 182–187.
DATE-1998-BeniniMSMS #encoding #optimisation
Address Bus Encoding Techniques for System-Level Power Optimization (LB, GDM, DS, EM, CS), pp. 861–866.
DATE-1998-BoglioloBM #behaviour #modelling
Characterization-Free Behavioral Power Modeling (AB, LB, GDM), pp. 767–773.
DAC-1997-BeniniMP #adaptation #design #latency #pipes and filters #throughput
Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control (LB, EM, MP), pp. 22–27.
EDTC-1997-BeniniMMPS #logic #network #optimisation #synthesis
Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks (LB, GDM, EM, MP, RS), pp. 514–520.
EDTC-1997-BoglioloBM #adaptation #behaviour #modelling
Adaptive least mean square behavioral power modeling (AB, LB, GDM), pp. 404–410.
DAC-1996-BoglioloBR #estimation
Power Estimation of Cell-Based CMOS Circuits (AB, LB, BR), pp. 433–438.
CC-2020-KurthWFCMGB #compilation
Mixed-data-model heterogeneous compilation and OpenMP offloading (AK, KW, BF, AC, AM, TG, LB), pp. 119–131.
ASPLOS-2020-BalkindLSGCLLNF #named
BYOC: A (JB, KL, MS, FG, GC, AL, AL, TMN0, YF, FZ, KG, LB, DW), pp. 699–714.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.