BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
1 × India
1 × Mexico
1 × Poland
1 × Portugal
1 × Spain
1 × Sweden
3 × China
3 × Italy
3 × United Kingdom
45 × USA
5 × Canada
6 × Germany
8 × France
Collaborated with:
M.J.Irwin Ö.Özturk N.Vijaykrishnan G.Chen G.Chen I.Kolcu I.Kadayif F.Li M.Karaköy A.N.Choudhary A.Sivasubramaniam Y.Zhang S.W.Son W.Ding J.Liu W.Zhang A.Sharifi S.H.K.Narayanan M.Jung J.Ramanujam S.P.Muralidhara S.Srikantaiah R.R.Brooks T.Yemliha J.S.Hu U.Sezer H.Saputra H.R.Topcuoglu O.Tosun E.Kultursay J.Pisharath C.Liu C.R.Das O.Jang H.Zhao G.Memik V.D.L.Luz Y.Xie J.Srinivas C.M.Patrick L.Xue I.Oz H.S.Kim W.Ye P.Yedlapalli W.Choi Jagadish B. Kotra V.Delaluz S.Kim P.Raghavan M.Wolczko S.Gurumurthi R.Thakur N.C.Nachiappan R.Iyer J.Kotra J.Shalf N.Ravi S.T.Chakradhar B.Demiröz E.H.Wilson R.Prabhakar R.Garg S.Hong D.R.Chakrabarti H.Koc E.Ercanli L.Li J.Hong A.Narayan Narges Shahidi Zeshan A. Chishti X.Tang M.Mutyam W.Hung S.Tosun N.Mansouri E.Arvas I.Demirkiran V.De P.Unnikrishnan Chun-Yi Liu B.Urgaonkar D.Evans T.Zhang V.Degalahal M.Vilayannur R.B.Ross P.H.Carns B.Mathiske R.Shetty A.Parikh T.Chinoda N.An Nima Elyasi M.Arjomand N.Soundararajan T.Li L.K.John C.Hsu U.Kremer A.Jog O.Kayiran A.K.Mishra O.Mutlu H.S.Nagesh J.No X.Shen V.E.Taylor S.More L.Deng C.Chakrabarti N.Pitsianis X.Sun
Talks about:
memori (25) energi (25) compil (23) data (22) chip (22) cach (22) base (22) reduc (17) optim (17) awar (17)

Person: Mahmut T. Kandemir

DBLP DBLP: Kandemir:Mahmut_T=

Facilitated 1 volumes:

LCTES 2009Ed

Contributed to:

CGO 20152015
DAC 20152015
HPCA 20152015
PLDI 20152015
ASPLOS 20142014
HPCA 20142014
ASPLOS 20132013
CGO 20132013
PPoPP 20132013
CGO 20122012
DAC 20122012
DATE 20122012
PDP 20122012
PLDI 20122012
CGO 20112011
DAC 20112011
HPCA 20112011
HPDC 20112011
PDP 20112011
PPoPP 20112011
DATE 20102010
HPDC 20102010
LCTES 20102010
PLDI 20102010
PPoPP 20102010
DAC 20092009
DATE 20092009
PPoPP 20092009
ASPLOS 20082008
Ada-Europe 20082008
DAC 20082008
PPoPP 20082008
CGO 20072007
DAC 20072007
DATE 20072007
LCTES 20072007
PLDI 20072007
Ada-Europe 20062006
CGO 20062006
DAC 20062006
DATE 20062006
LCTES 20062006
PLDI 20062006
POPL 20062006
CC 20052005
CGO 20052005
DAC 20052005
DATE 20052005
LCTES 20052005
PPoPP 20052005
SAS 20052005
CIKM 20042004
DAC 20042004
DATE v1 20042004
DATE v2 20042004
HPCA 20042004
ISMM 20042004
LCTES 20042004
PDP 20042004
CC 20032003
DAC 20032003
DATE 20032003
LCTES 20032003
OOPSLA 20032003
SAS 20032003
CC 20022002
DAC 20022002
DATE 20022002
ESOP 20022002
HPCA 20022002
LCTES/SCOPES 20022002
CC 20012001
DAC 20012001
HPCA 20012001
LCTES/OM 20012001
PASTE 20012001
POPL 20012001
SAC 20012001
VLDB 20012001
DAC 20002000
LCTES 20002000
HPDC 19991999
ASPLOS 20172017
ASPLOS 20192019
ASPLOS 20202020

Wrote 133 papers:

CGO-2015-SrinivasDK
Reactive tiling (JS, WD, MTK), pp. 91–102.
DAC-2015-LiuKDK #data access #network #reduction
Network footprint reduction through data access and computation placement in NoC-based manycores (JL, JK, WD, MTK), p. 6.
HPCA-2015-NachiappanYSSKI #energy #knowledge base
Domain knowledge based energy management in handhelds (NCN, PY, NS, AS, MTK, RI, CRD), pp. 150–160.
PLDI-2015-DingTKZK #multi #optimisation
Optimizing off-chip accesses in multicores (WD, XT, MTK, YZ, EK), pp. 131–142.
ASPLOS-2014-JungCSK #array #named #performance
Triple-A: a Non-SSD based autonomic all-flash array for high performance storage systems (MJ, WC, JS, MTK), pp. 441–454.
HPCA-2014-JungK #named #resource management
Sprinkler: Maximizing resource utilization in many-chip solid state disks (MJ, MTK), pp. 524–535.
ASPLOS-2013-JogKNMKMID #array #concurrent #named #owl #performance #scheduling #thread
OWL: cooperative thread array aware scheduling techniques for improving GPGPU performance (AJ, OK, NCN, AKM, MTK, OM, RI, CRD), pp. 395–406.
CGO-2013-DingZKSY #multi #scheduling
Locality-aware mapping and scheduling for multicores (WD, YZ, MTK, JS, PY), p. 12.
PPoPP-2013-LiuDJK #architecture #layout #optimisation
Data layout optimization for GPGPU architectures (JL, WD, OJ, MTK), pp. 283–284.
CGO-2012-LiuRCK #named #optimisation #pipes and filters #towards
Panacea: towards holistic optimization of MapReduce applications (JL, NR, STC, MTK), pp. 33–43.
DAC-2012-SharifiSKI #capacity
Courteous cache sharing: being nice to others in capacity management (AS, SS, MTK, MJI), pp. 678–687.
DAC-2012-ZhaoJDZKI #design #hybrid #multi #optimisation
A hybrid NoC design for cache coherence optimization for chip multiprocessors (HZ, OJ, WD, YZ, MTK, MJI), pp. 834–842.
DATE-2012-OzTKT #analysis #parallel #thread #trade-off
Performance-reliability tradeoff analysis for multithreaded applications (IO, HRT, MTK, OT), pp. 893–898.
PDP-2012-DemirozTKT #parallel #thread
Locality-Aware Dynamic Mapping for Multithreaded Applications (BD, HRT, MTK, OT), pp. 185–189.
PLDI-2012-LiuZJDK #compilation #framework #parallel
A compiler framework for extracting superword level parallelism (JL, YZ, OJ, WD, MTK), pp. 347–358.
CGO-2011-KandemirZLY #locality #multi #optimisation
Neighborhood-aware data locality optimization for NoC-based multicores (MTK, YZ, JL, TY), pp. 191–200.
CGO-2011-LiuZDK #manycore #scheduling
On-chip cache hierarchy-aware tile scheduling for multicore machines (JL, YZ, WD, MTK), pp. 161–170.
DAC-2011-KandemirYK #clustering #concurrent #parallel #thread
A helper thread based dynamic cache partitioning scheme for multithreaded applications (MTK, TY, EK), pp. 954–959.
DAC-2011-SharifiK #multi #process
Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
HPCA-2011-SrikantaiahKZKIX #adaptation #configuration management #multi #named
MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy (SS, EK, TZ, MTK, MJI, YX), pp. 231–242.
HPDC-2011-ZhangLWK #data access #energy #scheduling
Software-directed data access scheduling for reducing disk energy consumption (YZ, JL, EHW, MTK), pp. 281–282.
PDP-2011-OzTKT #architecture #concurrent #manycore #thread
Quantifying Thread Vulnerability for Multicore Architectures (IO, HRT, MTK, OT), pp. 32–39.
PDP-2011-SharifiK #3d #automation #feedback #hybrid #multi
Automatic Feedback Control of Shared Hybrid Caches in 3D Chip Multiprocessors (AS, MTK), pp. 393–400.
PPoPP-2011-PrabhakarSGK #multi
QoS aware storage cache management in multi-server environments (RP, SS, RG, MTK), pp. 289–290.
DATE-2010-SharifiZK #feedback #multi
Feedback control for providing QoS in NoC based multicores (AS, HZ, MTK), pp. 1384–1389.
DATE-2010-ZhangDYMZKCPS #code generation #compilation #evaluation
A special-purpose compiler for look-up table and code generation for function evaluation (YZ, LD, PY, SPM, HZ, MTK, CC, NP, XS), pp. 1130–1135.
HPDC-2010-KandemirMKS #multi
Computation mapping for multi-level storage cache hierarchies (MTK, SPM, MK, SWS), pp. 179–190.
HPDC-2010-PatrickKKSC
Cashing in on hints for better prefetching and caching in PVFS and MPI-IO (CMP, MTK, MK, SWS, ANC), pp. 191–202.
LCTES-2010-OzturkKIN #compilation #multi #reliability
Compiler directed network-on-chip reliability enhancement for chip multiprocessors (ÖÖ, MTK, MJI, SHKN), pp. 85–94.
PLDI-2010-KandemirYMSIZ #multi
Cache topology aware computation mapping for multicores (MTK, TY, SPM, SS, MJI, YZ), pp. 74–85.
PPoPP-2010-MuralidharaKR #clustering #parallel #thread
Intra-application shared cache partitioning for multithreaded applications (SPM, MTK, PR), pp. 329–330.
DAC-2009-KandemirOM #concurrent #thread
Dynamic thread and data mapping for NoC based CMPs (MTK, ÖÖ, SPM), pp. 852–857.
DATE-2009-HongNKO #concurrent #multi #process #thread
Process variation aware thread mapping for Chip Multiprocessors (SH, SHKN, MTK, ÖÖ), pp. 821–826.
DATE-2009-KandemirZO #adaptation #multi
Adaptive prefetching for shared cache based chip multiprocessors (MTK, YZ, ÖÖ), pp. 773–778.
DATE-2009-OzturkK #compilation #execution #memory management #using
Using dynamic compilation for continuing execution under reduced memory availability (ÖÖ, MTK), pp. 1373–1378.
PPoPP-2009-SonKKC #multi
A compiler-directed data prefetching scheme for chip multiprocessors (SWS, MTK, MK, DRC), pp. 209–218.
ASPLOS-2008-SrikantaiahKI #adaptation #multi #set
Adaptive set pinning: managing shared caches in chip multiprocessors (SS, MTK, MJI), pp. 135–144.
AdaEurope-2008-NarayananK #approach #automation #multi #semantics
A Systematic Approach to Automatically Generate Multiple Semantically Equivalent Program Versions (SHKN, MTK), pp. 185–198.
DAC-2008-ChenLSK #multi
Application mapping for chip multiprocessors (GC, FL, SWS, MTK), pp. 620–625.
PPoPP-2008-PatrickSK #communication #performance
Enhancing the performance of MPI-IO applications by overlapping I/O, computation and communication (CMP, SWS, MTK), pp. 277–278.
CGO-2007-OzturkCKK #latency #problem
Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems (ÖÖ, GC, MTK, MK), pp. 232–243.
DAC-2007-KocKEO #embedded #memory management #multi #using
Reducing Off-Chip Memory Access Costs Using Data Recomputation in Embedded Chip Multi-processors (HK, MTK, EE, ÖÖ), pp. 224–229.
DAC-2007-XueOK #parallel
A Memory-Conscious Code Parallelization Scheme (LX, ÖÖ, MTK), pp. 230–233.
DATE-2007-KandemirYSO #memory management #scheduling
Memory bank aware dynamic loop scheduling (MTK, TY, SWS, ÖÖ), pp. 1671–1676.
DATE-2007-NarayananKB #clustering #performance
Performance aware secure code partitioning (SHKN, MTK, RRB), pp. 1122–1127.
LCTES-2007-ChenLK #multi
Compiler-directed application mapping for NoC based chip multiprocessors (GC, FL, MTK), pp. 155–157.
PLDI-2007-LiCKK #energy #reduction
Profile-driven energy reduction in network-on-chips (FL, GC, MTK, IK), pp. 394–404.
AdaEurope-2006-NarayananKBK #execution
Secure Execution of Computations in Untrusted Hosts (SHKN, MTK, RRB, IK), pp. 106–118.
CGO-2006-SonCK #approach #locality #power management
A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality (SWS, GC, MTK), pp. 256–268.
DAC-2006-OzturkCK #approach #constraints #network #optimisation #parallel
Optimizing code parallelization through a constraint network based approach (ÖÖ, GC, MTK), pp. 863–688.
DATE-2006-ChenOKK #array #data access #memory management
Dynamic scratch-pad memory management for irregular array access patterns (GC, ÖÖ, MTK, MK), pp. 931–936.
DATE-2006-KandemirCLIK #clustering #process
Activity clustering for leakage management in SPMs (MTK, GC, FL, MJI, IK), pp. 696–697.
DATE-2006-XueOLKK #architecture #clustering #embedded #memory management
Dynamic partitioning of processing and memory resources in embedded MPSoC architectures (LX, ÖÖ, FL, MTK, IK), pp. 690–695.
LCTES-2006-MutyamLNKI #functional
Compiler-directed thermal management for VLIW functional units (MM, FL, NV, MTK, MJI), pp. 163–172.
PLDI-2006-ChenLKI #energy #scalability
Reducing NoC energy consumption through compiler-directed channel voltage scaling (GC, FL, MTK, MJI), pp. 193–203.
POPL-2006-ChenLK #network
Compiler-directed channel allocation for saving power in on-chip networks (GC, FL, MTK), pp. 194–205.
CC-2005-LiCKB #approach #security
A Compiler-Based Approach to Data Security (FL, GC, MTK, RRB), pp. 188–203.
CGO-2005-ChenK #code generation #optimisation
Optimizing Address Code Generation for Array-Intensive DSP Applications (GC, MTK), pp. 141–152.
DAC-2005-ChenK #embedded #java #reliability #virtual machine
Improving java virtual machine reliability for memory-constrained embedded systems (GC, MTK), pp. 690–695.
DAC-2005-LiK #architecture
Locality-conscious workload assignment for array-based computations in MPSOC architectures (FL, MTK), pp. 95–100.
DATE-2005-ChenKK #approach #constraints #layout #memory management #network #optimisation
A Constraint Network Based Approach to Memory Layout Optimization (GC, MTK, MK), pp. 1156–1161.
DATE-2005-HuLDKVI #detection #fault
Compiler-Directed Instruction Duplication for Soft Error Detection (JSH, FL, VD, MTK, NV, MJI), pp. 1056–1057.
DATE-2005-HungXVKI #embedded #scheduling
Thermal-Aware Task Allocation and Scheduling for Embedded Systems (WLH, YX, NV, MTK, MJI), pp. 898–899.
DATE-2005-KandemirC #embedded #process #scheduling
Locality-Aware Process Scheduling for Embedded MPSoCs (MTK, GC), pp. 870–875.
DATE-2005-KandemirLCCO #embedded #in memory #trade-off
Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing (MTK, FL, GC, GC, ÖÖ), pp. 1026–1031.
DATE-2005-MemikKO #fault
Increasing Register File Immunity to Transient Errors (GM, MTK, ÖÖ), pp. 586–591.
DATE-2005-OzturkK #energy #memory management
Nonuniform Banking for Reducing Memory Energy Consumption (ÖÖ, MTK), pp. 814–819.
DATE-2005-OzturkKI #garbage collection #named
BB-GC: Basic-Block Level Garbage Collection (ÖÖ, MTK, MJI), pp. 1032–1037.
DATE-2005-OzturkSKK #embedded
Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems (ÖÖ, HS, MTK, IK), pp. 882–887.
DATE-2005-TosunMAKX #synthesis
Reliability-Centric High-Level Synthesis (ST, NM, EA, MTK, YX), pp. 1258–1263.
LCTES-2005-KandemirCK #compilation #memory management
Compiling for memory emergency (MTK, GC, IK), pp. 213–221.
PPoPP-2005-SonCKC #compilation #energy #layout #parallel
Exposing disk layout to compiler for reducing energy consumption of parallel disk based systems (SWS, GC, MTK, ANC), pp. 174–185.
SAS-2005-ChenKK #execution #memory management #reliability
Memory Space Conscious Loop Iteration Duplication for Reliable Execution (GC, MTK, MK), pp. 52–69.
CIKM-2004-PisharathCK #database #energy
Energy management schemes for memory-resident database systems (JP, ANC, MTK), pp. 218–227.
DAC-2004-Kandemir #multi #named #scheduling
LODS: locality-oriented dynamic scheduling for on-chip multiprocessors (MTK), pp. 125–128.
DAC-2004-OzturkKDCI #behaviour
Data compression for improving SPM behavior (ÖÖ, MTK, ID, GC, MJI), pp. 401–406.
DATE-v1-2004-ChenKS #process #scheduling
Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms (GC, MTK, US), pp. 486–493.
DATE-v1-2004-HuVKKI #reduction #reuse #scheduling
Scheduling Reusable Instructions for Power Reduction (JSH, NV, SK, MTK, MJI), pp. 148–155.
DATE-v1-2004-Kandemir #data transformation #locality #memory management
Impact of Data Transformations on Memory Bank Locality (MTK), pp. 506–511.
DATE-v1-2004-LiVKI
A Crosstalk Aware Interconnect with Variable Cycle Transmission (LL, NV, MTK, MJI), pp. 102–107.
DATE-v2-2004-KadayifK #energy #network
Tuning In-Sensor Data Filtering to Reduce Energy Consumption in Wireless Sensor Networks (IK, MTK), pp. 852–857.
DATE-v2-2004-KadayifKK #energy #multi
Exploiting Processor Workload Heterogeneity for Reducing Energy Consumption in Chip Multiprocessors (IK, MTK, IK), pp. 1158–1163.
DATE-v2-2004-PisharathCK #approach #database #execution #in memory #query
Data Windows: A Data-Centric Approach for Query Execution in Memory-Resident Databases (JP, ANC, MTK), pp. 1352–1353.
HPCA-2004-LiuSK #memory management
Organizing the Last Line of Defense before Hitting the Memory Wall for CMP (CL, AS, MTK), pp. 176–185.
ISMM-2004-ChenKVI #analysis #embedded #java #optimisation
Field level analysis for heap space optimization in embedded java environments (GC, MTK, NV, MJI), pp. 131–142.
LCTES-2004-SaputraCBVKI #embedded
Code protection for resource-constrained embedded devices (HS, GC, RRB, NV, MTK, MJI), pp. 240–248.
PDP-2004-LiuSK #energy #multi #optimisation #using
Optimizing Bus Energy Consumption of On-Chip Multiprocessors Using Frequent Values (CL, AS, MTK), p. 340–?.
PDP-2004-VilayannurRCTSK #interface #on the #performance
On the Performance of the POSIX I/O Interface to PVFS (MV, RBR, PHC, RT, AS, MTK), pp. 332–339.
CC-2003-KandemirICR
Address Register Assignment for Reducing Code Size (MTK, MJI, GC, JR), pp. 273–289.
DAC-2003-ZhangCKK #embedded #interprocedural #optimisation #performance
Interprocedural optimizations for improving data cache performance of array-intensive embedded applications (WZ, GC, MTK, MK), pp. 887–892.
DATE-2003-KandemirCZK #embedded #scheduling
Data Space Oriented Scheduling in Embedded Systems (MTK, GC, WZ, IK), pp. 10416–10421.
DATE-2003-KandemirKZ #energy #evaluation #implementation #on-demand
Implementation and Evaluation of an On-Demand Parameter-Passing Strategy for Reducing Energy (MTK, IK, WZ), pp. 11058–11063.
DATE-2003-KandemirZK #parallel #runtime
Runtime Code Parallelization for On-Chip Multiprocessors (MTK, WZ, MK), pp. 10510–10515.
DATE-2003-LuzKKS #behaviour #data transformation
Generalized Data Transformations for Enhancing Cache Behavior (VDLL, MTK, IK, US), pp. 10906–10911.
DATE-2003-MemikKCK #approach #behaviour
An Integrated Approach for Improving Cache Behavior (GM, MTK, ANC, IK), pp. 10796–10801.
DATE-2003-SaputraVKIBKZ #behaviour #encryption #energy
Masking the Energy Behavior of DES Encryption (HS, NV, MTK, MJI, RRB, SK, WZ), pp. 10084–10089.
DATE-2003-ZhangKVID #compilation #energy
Compiler Support for Reducing Leakage Energy Consumption (WZ, MTK, NV, MJI, VD), pp. 11146–11147.
LCTES-2003-KimVKI #adaptation #architecture #optimisation #parallel
Adapting instruction level parallelism for optimizing leakage in VLIW architectures (HSK, NV, MTK, MJI), pp. 275–283.
OOPSLA-2003-ChenKVIMW #java
Heap compression for memory-constrained Java environments (GC, MTK, NV, MJI, BM, MW), pp. 282–301.
SAS-2003-UnnikrishnanCKKK #requirements
Loop Transformations for Reducing Data Space Requirements of Resource-Constrained Applications (PU, GC, MTK, MK, IK), pp. 383–400.
CC-2002-KandemirKK #energy #memory management #multi #optimisation
Influence of Loop Optimizations on Energy Consumption of Multi-bank Memory Systems (MTK, IK, IK), pp. 276–292.
DAC-2002-DelaluzSKVI #energy
Scheduler-based DRAM energy management (VD, AS, MTK, NV, MJI), pp. 697–702.
DAC-2002-KadayifKK #adaptation #energy #parallel
An energy saving strategy based on adaptive loop parallelization (IK, MTK, MK), pp. 195–200.
DAC-2002-KadayifKS #approach #integer #linear #multi #programming
An integer linear programming based approach for parallelizing applications in On-chip multiprocessors (IK, MTK, US), pp. 703–708.
DAC-2002-KandemirC #design #memory management
Compiler-directed scratch pad memory hierarchy design and management (MTK, ANC), pp. 628–633.
DAC-2002-KandemirRC #embedded #memory management #multi
Exploiting shared scratch pad memory space in embedded multiprocessor systems (MTK, JR, ANC), pp. 219–224.
DAC-2002-LuzKK #automation #energy #memory management #migration #multi
Automatic data migration for reducing energy consumption in multi-bank memory systems (VDLL, MTK, IK), pp. 213–218.
DATE-2002-HuVKI #power management
Power-Efficient Trace Caches (JSH, NV, MTK, MJI), p. 1091.
DATE-2002-KadayifKVIS #compilation #energy #estimation #framework #named #optimisation
EAC: A Compiler Framework for High-Level Energy Estimation and Optimization (IK, MTK, NV, MJI, AS), pp. 436–442.
DATE-2002-Kandemir #approach #reuse
A Compiler-Based Approach for Improving Intra-Iteration Data Reuse (MTK), pp. 984–990.
DATE-2002-KandemirK #energy
Reducing Cache Access Energy in Array-Intensive Application (MTK, IK), p. 1092.
ESOP-2002-Kandemir
Data Space Oriented Tiling (MTK), pp. 178–193.
HPCA-2002-ChenSKVIW #embedded #garbage collection #java
Tuning Garbage Collection in an Embedded Java Environment (GC, RS, MTK, NV, MJI, MW), pp. 92–103.
HPCA-2002-GurumurthiSIVKLJ #approach #estimation #simulation #using
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach (SG, AS, MJI, NV, MTK, TL, LKJ), pp. 141–150.
LCTES-SCOPES-2002-HuKVISZ #morphism #polymorphism
Compiler-directed cache polymorphism (JSH, MTK, NV, MJI, HS, WZ), pp. 165–174.
LCTES-SCOPES-2002-SaputraKVIHHK #compilation #energy #scalability
Energy-conscious compilation based on voltage scaling (HS, MTK, NV, MJI, JSH, CHH, UK), pp. 2–11.
CC-2001-KandemirU #array #locality #optimisation #unification
Array Unification: A Locality Optimization Technique (MTK), pp. 259–273.
DAC-2001-KandemirRIVKP #memory management
Dynamic Management of Scratch-Pad Memory Space (MTK, JR, MJI, NV, IK, AP), pp. 690–695.
DAC-2001-RamanujamHKN #embedded #memory management #requirements
Reducing Memory Requirements of Nested Loops for Embedded Systems (JR, JH, MTK, AN), pp. 359–364.
HPCA-2001-DelaluzKVSI #energy #hardware #using
DRAM Energy Management Using Software and Hardware Directed Power Mode Control (VD, MTK, NV, AS, MJI), pp. 159–169.
LCTES-OM-2001-KadayifKVIR #architecture
Morphable Cache Architectures: Potential Benefits (IK, MTK, NV, MJI, JR), pp. 128–137.
PASTE-2001-KadayifCKVIS #energy #named
vEC: virtual energy counters (IK, TC, MTK, NV, MJI, AS), pp. 28–31.
POPL-2001-Kandemir #compilation #locality
A compiler technique for improving whole-program locality (MTK), pp. 179–192.
SAC-2001-Kandemir #algebra #algorithm #linear #locality #optimisation
A dynamic locality optimization algorithm for linear algebra codes (MTK), pp. 632–635.
VLDB-2001-AnSVKIG #behaviour #data access #energy
Analyzing energy behavior of spatial access methods for memory-resident data (NA, AS, NV, MTK, MJI, SG), pp. 411–420.
DAC-2000-KandemirVIY #compilation #optimisation
Influence of compiler optimizations on system power (MTK, NV, MJI, WY), pp. 304–307.
DAC-2000-YeVKI #design #energy #estimation #using
The design and use of simplepower: a cycle-accurate energy estimation tool (WY, NV, MTK, MJI), pp. 340–345.
LCTES-2000-KandemirVIK #energy #towards
Towards Energy-Aware Iteration Space Tiling (MTK, NV, MJI, HSK), pp. 211–215.
HPDC-1999-ChoudharyKNNSTMT #data transformation #distributed #performance #scalability
Data Management for Large-Scale Scientific Computations in High Performance Distributed Systems (ANC, MTK, HSN, JN, XS, VET, SM, RT), pp. 263–272.
ASPLOS-2017-ElyasiASKDJ #performance
Exploiting Intra-Request Slack to Improve SSD Performance (NE, MA, AS, MTK, CRD, MJ), pp. 375–388.
ASPLOS-2017-KotraSCK #co-evolution #design #process #scheduling
Hardware-Software Co-design to Mitigate DRAM Refresh Overheads: A Case for Refresh-Aware Process Scheduling (JBK, NS, ZAC, MTK), pp. 723–736.
ASPLOS-2019-LiuKJKD #3d
SOML Read: Rethinking the Read Operation Granularity of 3D NAND SSDs (CYL, JBK, MJ, MTK, CRD), pp. 955–969.
ASPLOS-2020-ChoiUKJE
Fair Write Attribution and Allocation for Consolidated Flash Cache (WC, BU, MTK, MJ, DE), pp. 1063–1076.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.