BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
design (243)
system (158)
base (124)
model (87)
use (66)

Stem methodolog$ (all stems)

799 papers:

ECSAECSA-2015-RostWNLS #agile #architecture #case study #development #experience #industrial
Distilling Best Practices for Agile Development from Architecture Methodology — Experiences from Industrial Application (DR, BW, MN, TL, HS), pp. 259–267.
DACDAC-2015-LiuSZLQ #generative #statistics
A statistical methodology for noise sensor placement and full-chip voltage map generation (XL, SS, PZ, XL, HQ), p. 6.
DACDAC-2015-MiuraFNHHA #concept
EM attack sensor: concept, circuit, and design-automation methodology (NM, DF, MN, NH, YiH, TA), p. 6.
DACDAC-2015-SumbulVZFP #design #in memory #synthesis
A synthesis methodology for application-specific logic-in-memory designs (HES, KV, QZ, FF, LP), p. 6.
DATEDATE-2015-BerryhillV #automation #functional
Automated rectification methodologies to functional state-space unreachability (RB, AGV), pp. 1401–1406.
DATEDATE-2015-HashemianSWWCP #array #authentication #robust #using
A robust authentication methodology using physically unclonable functions in DRAM arrays (MSH, BPS, FGW, DJW, SC, CAP), pp. 647–652.
DATEDATE-2015-NowosielskiGBVB #design #fault tolerance #named
FLINT: layout-oriented FPGA-based methodology for fault tolerant ASIC design (RN, LG, SB, GPV, HB), pp. 297–300.
DATEDATE-2015-Sharma #optimisation #power management
Minimum current consumption transition time optimization methodology for low power CTS (VS), pp. 412–416.
DATEDATE-2015-TaatizadehN #automation #design #detection #embedded #validation
A methodology for automated design of embedded bit-flips detectors in post-silicon validation (PT, NN), pp. 73–78.
CHICHI-2015-HillmanW #approach #social #social media
Situated Social Media Use: A Methodological Approach to Locating Social Media Practices and Trajectories (TH, AW), pp. 4057–4060.
HCIDHM-EH-2015-RiceK #estimation
Anthropometric Casualty Estimation Methodologies (DR, MK), pp. 84–91.
HCIDUXU-DD-2015-CyprianoP #design #interactive #process #prototype #testing
Prototyping and Testing Throughout all the Design Process as a Methodology for Developing Interaction Design Projects (LC, MP), pp. 157–166.
HCIDUXU-DD-2015-Zapata #agile #bibliography #integration #perspective #usability
Integration of Usability and Agile Methodologies: A Systematic Review (CZ), pp. 368–378.
HCIHCI-UC-2015-Ujita #analysis #reliability #using
Accident Analysis by Using Methodology of Resilience Engineering, High Reliability Organization, and Risk Literacy (HU), pp. 358–369.
HCIHIMI-IKC-2015-AhangamaP15a #empirical #what
What Methodological Attributes Are Essential for Novice Users to Analytics? — An Empirical Study (SA, DCCP), pp. 77–88.
AdaEuropeAdaEurope-2015-BaldovinZNP #development #modelling
The CONCERTO Methodology for Model-Based Development of Avionics Software (AB, AZ, GN, SP), pp. 131–145.
CAiSECAiSE-2015-EckLLA #mining #named #process
PM²: A Process Mining Project Methodology (MLvE, XL, SJJL, WMPvdA), pp. 297–313.
KDDKDD-2015-MinorDC #algorithm #data-driven #evaluation #predict #process
Data-Driven Activity Prediction: Algorithms, Evaluation Methodology, and Applications (BM, JRD, DJC), pp. 805–814.
SEKESEKE-2015-MagalhaesMA #case study #design #experience #metamodelling #model transformation #towards
Towards a Metamodel Design Methodology: Experiences from a model transformation metamodel design (APM, RSPM, AMSA), pp. 625–630.
SACSAC-2015-Chakraborti #identification #multi #optimisation #summary #topic
Multi-document text summarization for competitor intelligence: a methodology based on topic identification and artificial bee colony optimization (SC), pp. 1110–1111.
ICSEICSE-v2-2015-Berghe #analysis #security #towards
Towards a Practical Security Analysis Methodology (AvDB), pp. 883–886.
DACDAC-2014-AtacCLWSZWH #design #multi #standard
An HDL-Based System Design Methodology for Multistandard RF SoC’s (AA, ZC, LL, YW, MS, YZ, RW, SH), p. 6.
DACDAC-2014-ChenWLZAMWH #functional #multi #prototype #standard #verification
A SystemC Virtual Prototyping based Methodology for Multi-Standard SoC Functional Verification (ZC, YW, LL, YZ, AA, JHM, RW, SH), p. 6.
DACDAC-2014-GuglielmoPC #composition #design #synthesis
A Design Methodology for Compositional High-Level Synthesis of Communication-Centric SoCs (GDG, CP, LPC), p. 6.
DACDAC-2014-LiuCHWXY #3d #design
Design Methodologies for 3D Mixed Signal Integrated Circuits: a Practical 12-bit SAR ADC Design Case (WL, GC, XH, YW, YX, HY), p. 6.
DATEDATE-2014-GuarnieriPSVBFMP #embedded #monitoring #verification
A cross-level verification methodology for digital IPs augmented with embedded timing monitors (VG, MP, AS, SV, NB, FF, EM, MP), pp. 1–6.
DATEDATE-2014-GuerreAL #architecture #benchmark #metric #parallel #performance
A unified methodology for a fast benchmarking of parallel architecture (AG, JTA, YL), pp. 1–4.
DATEDATE-2014-HanKNV #learning
A deep learning methodology to proliferate golden signoff timing (SSH, ABK, SN, ASV), pp. 1–6.
DATEDATE-2014-PapadimitriouHBML #clustering #fault #injection #modelling #multi #towards
A multiple fault injection methodology based on cone partitioning towards RTL modeling of laser attacks (AP, DH, VB, PM, RL), pp. 1–4.
DATEDATE-2014-TangZS #design #development #performance
System-level design methodology enabling fast development of baseband MP-SoC for 4G small cell base station (ST, ZZ, YS), pp. 1–6.
ITiCSEITiCSE-2014-Dorge #approach
A methodological approach to key competencies in informatics (CD), pp. 201–206.
ITiCSEITiCSE-2014-Hidalgo-CespedesRL #concept #design #game studies #learning #programming #video
Playing with metaphors: a methodology to design video games for learning abstract programming concepts (JHC, GMR, VLV), p. 348.
ITiCSEITiCSE-2014-TedreBMC #classification #concept #education #identification #towards
Towards identification and classification of core and threshold concepts in methodology education in computing (MT, DB, SMB, JCC), pp. 237–242.
SCAMSCAM-2014-KallenHH #object-oriented #refactoring #using
Impact of Code Refactoring Using Object-Oriented Methodology on a Scientific Computing Application (MK, SH, EÞH), pp. 125–134.
HCIDUXU-DI-2014-ChammasQM #analysis #design #interactive
An Analysis of Design Methodologies of Interactive System for Mobiles (AC, MQ, CRM), pp. 213–222.
HCIDUXU-DP-2014-AlmeidaRN #evaluation #framework #optimisation
Methodological Framework for Control Centres Evaluation and Optimization (AA, FR, PN), pp. 3–11.
HCIDUXU-DP-2014-KramerN #design
Designing with the User in Mind a Cognitive Category Based Design Methodology (JK, SN), pp. 152–163.
HCIDUXU-DP-2014-NomisoP #usability
Usability Methodological Procedures Applied on an Institutional Site (LSN, LCP), pp. 423–433.
HCIDUXU-ELAS-2014-Chunpir0B #comprehension #using
Using Soft Systems Methodology (SSM) in Understanding Current User-Support Scenario in the Climate Science Domain of Cyber-Infrastructures (HIC, TL, AAB), pp. 495–506.
HCIDUXU-ELAS-2014-DesouzartFMM #behaviour #interactive
Human-Bed Interaction: A Methodology and Tool to Measure Postural Behavior during Sleep of the Air Force Military (GD, EF, FM, RM), pp. 662–674.
HCIHCI-AIMT-2014-AlmeidaST #design #development #interactive #speech
Design and Development of Speech Interaction: A Methodology (NA, SSS, AJST), pp. 370–381.
HCIHCI-TMT-2014-OlssonE #design
Methodological Capabilities for Emergent Design (CMO, JE), pp. 110–121.
HCIHIMI-AS-2014-XingGLK #clustering
Decision Support Based on Time-Series Analytics: A Cluster Methodology (WX, RG, NL, TRK), pp. 217–225.
HCILCT-NLE-2014-BrayshawGNWB #analysis #case study #evaluation #heuristic
Investigating Heuristic Evaluation as a Methodology for Evaluating Pedagogical Software: An Analysis Employing Three Case Studies (MB, NG, JTN, LW, AB), pp. 25–35.
HCILCT-TRE-2014-SzklannyW #learning #prototype
Prototyping M-Learning Course on the Basis of Puzzle Learning Methodology (KS, MW), pp. 215–226.
CAiSECAiSE-2014-MoyanoBG #trust
Trust-Aware Decision-Making Methodology for Cloud Sourcing (FM, KB, MCFG), pp. 136–149.
ICEISICEIS-v3-2014-NunoG #in the cloud #outsourcing #using
Methodology for Developing and Application Outsourcing in the Cloud Using SOA (AGN, CMG), pp. 419–426.
RecSysRecSys-2014-KrishnanPFG #bias #learning #recommendation #social
A methodology for learning, analyzing, and mitigating social influence bias in recommender systems (SK, JP, MJF, KG), pp. 137–144.
SEKESEKE-2014-LianZ #feature model #product line
An Evolutionary Methodology for Optimized Feature Selection in Software Product Lines (XL, LZ), pp. 63–66.
RERE-2014-SaitoTYA #design #named #quality #requirements #set
RISDM: A requirements inspection systems design methodology: Perspective-based design of the pragmatic quality model and question set to SRS (SS, MT, SY, MA), pp. 223–232.
FSEFSE-2014-PetreD #question #re-engineering
Methodology and culture: drivers of mediocrity in software engineering? (MP, DD), pp. 829–832.
CGOCGO-2014-BrankovicSGG #simulation
Warm-Up Simulation Methodology for HW/SW Co-Designed Processors (AB, KS, EG, AG), p. 284.
HPDCHPDC-2014-BakerXDLNMEVW #simulation
A methodology for evaluating the impact of data compression on climate simulation data (AHB, HX, JMD, MNL, DN, SAM, JE, MV, AW), pp. 203–214.
CBSECBSE-2013-EnardSBCDFR #development
Design-driven development methodology for resilient computing (QE, MS, EB, CC, LD, JCF, MR), pp. 59–64.
DACDAC-2013-JangPK #simulation
An event-driven simulation methodology for integrated switching power supplies in SystemVerilog (JEJ, MJP, JK), p. 7.
DACDAC-2013-RamasubramanianVPR #design #energy #named
Relax-and-retime: a methodology for energy-efficient recovery based design (SGR, SV, AP, AR), p. 6.
DATEDATE-2013-BraojosAA #classification #embedded #random #using
A methodology for embedded classification of heartbeats using random projections (RB, GA, DA), pp. 899–904.
DATEDATE-2013-CevreroEAILBS #estimation #performance
Fast and accurate BER estimation methodology for I/O links based on extreme value theory (AC, NEE, CA, PI, YL, AB, GIS), pp. 503–508.
DATEDATE-2013-JoshiLBBG #estimation #performance #statistics
A gate level methodology for efficient statistical leakage estimation in complex 32nm circuits (SJ, AL, MB, EB, SG), pp. 1056–1057.
DATEDATE-2013-LaiCAG #monitoring #named #online
SlackProbe: a low overhead in situ on-line timing slack monitoring methodology (LL, VC, RCA, PG), pp. 282–287.
DATEDATE-2013-PiriouDRR #architecture #estimation #performance #programmable #reduction
A fast and accurate methodology for power estimation and reduction of programmable architectures (EP, RD, FR, SR), pp. 1054–1055.
DATEDATE-2013-WilleGSKD #modelling #towards #verification
Towards a generic verification methodology for system models (RW, MG, MS, MK, RD), pp. 1193–1196.
DATEDATE-2013-ZoniF
Sensor-wise methodology to face NBTI stress of NoC buffers (DZ, WF), pp. 1038–1043.
MSRMSR-2013-CampbellZXHM #analysis #detection #documentation #topic #using
Deficient documentation detection: a methodology to locate deficient project documentation using topic analysis (JCC, CZ, ZX, AH, JM), pp. 57–60.
CHICHI-2013-OLearyWR #design #human-computer #research
Q-methodology as a research and design tool for HCI (KO, JOW, EAR), pp. 1941–1950.
HCIDUXU-CXC-2013-LourencoC #development #empirical
Legibility in Children’s Reading: The Methodological Development of an Experiment for Reading Printed and Digital Texts (DL, SC), pp. 219–228.
HCIDUXU-NTE-2013-BreyerRVCTK #artificial reality #case study #design
Design Methodology for Body Tracking Based Applications — A Kinect Case Study (FB, BR, LAV, AC, JMXNT, JK), pp. 227–236.
HCIDUXU-PMT-2013-SandinoMV #design #interactive #realtime
Design Thinking Methodology for the Design of Interactive Real-Time Applications (DS, LMM, GV), pp. 583–592.
HCIDUXU-PMT-2013-ThomasJ #sorting
Merging Methodologies: Combining Individual and Group Card Sorting (RLT, IJ), pp. 417–426.
HCIDUXU-PMT-2013-VinagreN #design #named
Usagame — A New Methodology to Support User Centered Design of Touchscreen Applications (PV, ILN), pp. 620–629.
HCIHIMI-HSM-2013-DjamasbiW #design #health #research
Young Adult Health Promotion: Supporting Research Design with Eye-Tracking Methodologies (SD, EVW), pp. 235–244.
CAiSECAiSE-2013-KramerE #outsourcing #requirements
Outsourcing Location Selection with SODA: A Requirements Based Decision Support Methodology and Tool (TK, ME), pp. 530–545.
CAiSECAiSE-2013-RiemerSS #design #performance
A Methodology for Designing Events and Patterns in Fast Data Processing (DR, NS, LS), pp. 133–148.
ICEISICEIS-J-2013-GiordanoTSAF13a #approach #architecture #case study #enterprise #ontology #semantics
Joining Data and Maps in the Government Enterprise Architecture by a Semantic Approach: Methodology, Ontology and Case Study (DG, AT, CS, SA, AF), pp. 506–519.
ICEISICEIS-v2-2013-BouchboutAA #design
A Design Methodology for B2B Systems — Case of an e-Procurement System (KB, JA, ZA), pp. 459–466.
ICEISICEIS-v2-2013-KandjaniMAS #concept #framework #information management
A Conceptual Framework to Classify Strategic Information Systems Planning Methodologies (HK, AM, AEA, RS), pp. 190–196.
KDIRKDIR-KMIS-2013-BastosBGRCSF #identification #modelling
Managing Information and Knowledge — A Proposal Methodology for Building an Integrated Model based on Information Assets Identification (CAMB, ACMB, ASG, LSdR, MAFC, MLdS, SJMdSF), pp. 520–525.
KDIRKDIR-KMIS-2013-PereiraTBW #enterprise
A Risk Diagnosing Methodology Web-based Tool for SME’s and Start-up Enterprises (LP, AT, JB, JW), pp. 308–317.
KEODKEOD-2013-Liang #collaboration #concept #design #framework #ontology
Applying Ontology-based Knowledge Methodology in Product Innovative Collaborative Conceptual Design Framework (JSL), pp. 324–330.
MLDMMLDM-2013-EichelbergerS #classification #empirical #multi
An Empirical Study of Reducing Multiclass Classification Methodologies (RKE, VSS), pp. 505–519.
ICMTICMT-2013-RuscioIP #approach #atl #co-evolution #evolution #metamodelling
A Methodological Approach for the Coupled Evolution of Metamodels and ATL Transformations (DDR, LI, AP), pp. 60–75.
RERE-2013-SaitoTHKA #quality #requirements #specification
Requirements clinic: Third party inspection methodology and practice for improving the quality of software requirements specifications (SS, MT, MH, TK, MA), pp. 290–295.
SACSAC-2013-MezghaniHRD #architecture #configuration management #modelling
A model driven methodology for enabling autonomic reconfiguration of service oriented architecture (EM, RBH, IBR, KD), pp. 1772–1773.
CASECASE-2012-CostantinoDEFS #analysis #evaluation #fuzzy #novel #performance
A novel fuzzy Data Envelopment Analysis methodology for performance evaluation in a two-stage supply chain (NC, MD, NE, MF, FS), pp. 974–979.
DACDAC-2012-AgostaBP #analysis
A code morphing methodology to automate power analysis countermeasures (GA, AB, GP), pp. 77–82.
DACDAC-2012-ChenH #3d #synthesis
Clock tree synthesis with methodology of re-use in 3D IC (FWC, TH), pp. 1094–1099.
DACDAC-2012-HuangLR #energy #hardware #trade-off #using
A methodology for energy-quality tradeoff using imprecise hardware (JH, JL, GR), pp. 504–509.
DACDAC-2012-KuoHCKC #design #monte carlo #performance
Efficient trimmed-sample Monte Carlo methodology and yield-aware design flow for analog circuits (CCK, WYH, YHC, JFK, YKC), pp. 1113–1118.
DACDAC-2012-LeeC #co-evolution #design
A chip-package-board co-design methodology (HCL, YWC), pp. 1082–1087.
DATEDATE-2012-AnagnostopoulosBKS #distributed #divide and conquer #manycore #runtime
A divide and conquer based distributed run-time mapping methodology for many-core platforms (IA, AB, GK, DS), pp. 111–116.
DATEDATE-2012-BamakhramaZNS #automation #design #embedded #realtime #streaming
A methodology for automated design of hard-real-time embedded streaming systems (MB, JTZ, HN, TS), pp. 941–946.
DATEDATE-2012-HamoucheK #aspect-oriented #component #design #embedded #realtime
Component-based and aspect-oriented methodology and tool for Real-Time Embedded Control Systems Design (RH, RK), pp. 1421–1424.
DATEDATE-2012-ThachTKI #estimation #performance
Fast cycle estimation methodology for instruction-level emulator (DT, YT, SK, AI), pp. 248–251.
DocEngDocEng-2012-GobelHOO #algorithm #comprehension #documentation
A methodology for evaluating algorithms for table understanding in PDF documents (MCG, TH, EO, GO), pp. 45–48.
ITiCSEITiCSE-2012-HershkovichH #education #how #tool support
How innovative technology tools can be used to create new methodology for teaching knowledge (EH, BH), p. 387.
MSRMSR-2012-Hindle #mining #power management
Green mining: A methodology of relating software change to power consumption (AH), pp. 78–87.
AdaEuropeAdaEurope-2012-PoonCT #classification
Choices, Choices: Comparing between CHOC’LATE and the Classification-Tree Methodology (PLP, TYC, THT), pp. 162–176.
ICEISICEIS-v1-2012-Castanon-PugaGFJC #distributed #multi #social #towards
A Distributed Agency Methodology applied to Complex Social Systems — Towards a Multi-dimensional Model of the Religious Affiliation Preference (MCP, CGP, DLF, RJM, JRC), pp. 272–277.
ICEISICEIS-v2-2012-CapelM #approach #automation #composition #correctness #model checking #safety #verification
A Formal Compositional Verification Approach for Safety-Critical Systems Correctness — Model-Checking based Methodological Approach to Automatically Verify Safety Critical Systems Software (MIC, LEMM), pp. 105–112.
KDDKDD-2012-JanWLL #classification
A simple methodology for soft cost-sensitive classification (TKJ, DWW, CHL, HTL), pp. 141–149.
KMISKMIS-2012-SaadKAR #concept #information management
Soft Systems Methodology — A Conceptual Model of Knowledge Management Systems Initiatives in Malaysian Public Universities (NHMS, HK, RAA, AAR), pp. 60–69.
ECMFAECMFA-2012-BatoriTA #component #metamodelling #modelling
Metamodel Based Methodology for Dynamic Component Systems (GB, ZT, DA), pp. 275–286.
MODELSMoDELS-2012-AliYBW #case study #industrial #modelling #product line #testing
A Product Line Modeling and Configuration Methodology to Support Model-Based Testing: An Industrial Case Study (SA, TY, LCB, SW), pp. 726–742.
MODELSMoDELS-2012-AliYBW #case study #industrial #modelling #product line #testing
A Product Line Modeling and Configuration Methodology to Support Model-Based Testing: An Industrial Case Study (SA, TY, LCB, SW), pp. 726–742.
LOPSTRLOPSTR-2012-GieslSSEF #evaluation #graph #logic programming #source code #symbolic computation #term rewriting
Symbolic Evaluation Graphs and Term Rewriting — A General Methodology for Analyzing Logic Programs (JG, TS, PSK, FE, CF), p. 1.
PPDPPPDP-2012-GieslSSEF #evaluation #graph #logic programming #source code #symbolic computation #term rewriting
Symbolic evaluation graphs and term rewriting: a general methodology for analyzing logic programs (JG, TS, PSK, FE, CF), pp. 1–12.
PADLPADL-2012-BalducciniL #aspect-oriented #tool support
Practical and Methodological Aspects of the Use of Cutting-Edge ASP Tools (MB, YL), pp. 78–92.
ICSEICSE-2012-PloomSG #migration #process #scalability
Methodology for migration of long running process instances in a global large scale BPM environment in Credit Suisse’s SOA landscape (TP, SS, AG), pp. 977–986.
ICSEICSE-2012-Westermann #developer #feedback #performance
A generic methodology to derive domain-specific performance feedback for developers (DW), pp. 1527–1530.
PPoPPPPoPP-2012-KoganP #data type #performance
A methodology for creating fast wait-free data structures (AK, EP), pp. 141–150.
ICSTICST-2012-SalayCG #towards #verification
Towards a Methodology for Verifying Partial Model Refinements (RS, MC, JG), pp. 938–945.
CASECASE-2011-GhomriA #hybrid #petri net #using
Continuous flow systems and control methodology using Hybrid Petri nets (LG, HA), pp. 419–424.
CASECASE-2011-StyliosG #fuzzy #modelling #using
Modeling complex logistics systems using soft computing methodology of Fuzzy Cognitive Maps (CDS, GKG), pp. 72–77.
CASECASE-2011-Vogel-HeuserS #approach #automation #modelling #usability
A methodological approach to evaluate the benefit and usability of different modeling notations for open loop control in automation systems (BVH, KS), pp. 474–481.
DATEDATE-2011-AdirCLNSZMS #validation #verification
A unified methodology for pre-silicon verification and post-silicon validation (AA, SC, SL, AN, GS, AZ, CM, JS), pp. 1590–1595.
DATEDATE-2011-BangaRH #testing
Design-for-test methodology for non-scan at-speed testing (MB, NPR, MSH), pp. 191–196.
DATEDATE-2011-BeuxTONBP #architecture #design
Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology (SLB, JT, IO, GN, GB, PGP), pp. 788–793.
DATEDATE-2011-CroneBCDER #state of the art #verification
State of the art verification methodologies in 2015 (AC, OB, CC, BD, VE, MR), p. 1339.
DATEDATE-2011-GrammatikakisPSP #estimation #using
System-level power estimation methodology using cycle- and bit-accurate TLM (MDG, SP, JPS, CP), pp. 1125–1126.
DATEDATE-2011-MatsudaI #debugging #verification
Developing an integrated verification and debug methodology (AM, TI), pp. 503–504.
DATEDATE-2011-YangSSL #reduction #testing
A clock-gating based capture power droop reduction methodology for at-speed scan testing (BY, AS, SS, CL), pp. 197–203.
CSEETCSEET-2011-KnudsonR #agile #industrial
Updating CS capstone projects to incorporate new agile methodologies used in industry (DK, AR), pp. 444–448.
CSEETCSEET-2011-NanzTPM #assessment #concurrent #education #empirical
Empirical assessment of languages for teaching concurrency: Methodology and application (SN, FT, MP, BM), pp. 477–481.
CSEETCSEET-2011-Virseda #education #learning #re-engineering #semantics
A learning methodology based on semantic tableaux for software engineering education (RdVV), pp. 401–405.
ITiCSEITiCSE-2011-ChanK
Do educational software systems provide satisfactory learning opportunities for “multi-sensory learning” methodology? (PC, GK), p. 358.
ITiCSEITiCSE-2011-UrbanoMMM #information retrieval #student
Bringing undergraduate students closer to a real-world information retrieval setting: methodology and resources (JU, MM, DM, JM), pp. 293–297.
SEFMSEFM-2011-CorralC #simulation #towards
Towards an Agent-Based Methodology for Developing Agro-Ecosystem Simulations (JC, DC), pp. 431–446.
CHICHI-2011-BardzellB11a #human-computer #social #towards
Towards a feminist HCI methodology: social science, feminism, and HCI (SB, JB), pp. 675–684.
CSCWCSCW-2011-CheokKPF #artificial reality #research
Mixed reality lab Singapore: a genealogy of lab projects employing the blue sky innovation research methodology (ADC, JTKVK, RLP, ONNF), pp. 17–24.
HCIDHM-2011-ZhangLMGZ #design
Mass Customization Methodology for Footwear Design (YZ, AL, XM, XG, MZ), pp. 367–375.
HCIDUXU-v1-2011-BeccariO #approach #experience #user interface
A Philosophical Approach about User Experience Methodology (MNB, TLO), pp. 13–22.
HCIDUXU-v1-2011-TambasciaDM #authentication #mobile #multimodal
Methodology for Evaluating Multimodal Biometric Authentication on Mobile Devices (CdAT, RED, EMDM), pp. 668–677.
HCIHIMI-v1-2011-LinBK #biology #design #education #multi #simulation
Learner-Centered Methodology for Designing and Developing Multimedia Simulation for Biology Education (CCL, MB, KK), pp. 20–29.
HCIHIMI-v2-2011-MacedoI #ontology
A Methodology to Develop a Clinical Ontology for Healthcare Business (MM, PTI), pp. 285–291.
CAiSECAiSE-2011-DelgadoRGP #generative #process
Business Process Service Oriented Methodology (BPSOM) with Service Generation in SoaML (AD, FR, IGRdG, MP), pp. 672–680.
CAiSECAiSE-2011-MohanA #comprehension #what
What Methodology Attributes Are Critical for Potential Users? Understanding the Effect of Human Needs (KM, FA), pp. 314–328.
CAiSECAiSE-2011-RoySSWB #design #enterprise #using
Using SOA Governance Design Methodologies to Augment Enterprise Service Descriptions (MR, BS, DS, IW, BB), pp. 566–581.
ICEISICEIS-J-2011-CarvalhoSRP #named
PAR-COM: A New Methodology for Post-processing Association Rules (VOdC, FFdS, SOR, RdP), pp. 66–80.
ICEISICEIS-v1-2011-AtiguiRTZ #design #modelling
A Unified Model Driven Methodology for Data Warehouses and ETL Design (FA, FR, RT, GZ), pp. 247–252.
ICEISICEIS-v2-2011-MarquezCCS #approach #distributed #multi #social
A Distributed Agency Methodology Applied to Complex Social Systems — A Multi-Dimensional Approach (BYM, MCP, JRC, EDS), pp. 204–209.
ECIRECIR-2011-AlbakourKNKSFR #evaluation #named #query #using
AutoEval: An Evaluation Methodology for Evaluating Query Suggestions Using Query Logs (MDA, UK, NN, YK, DS, MF, ANDR), pp. 605–610.
ECIRECIR-2011-ArguelloDCC
A Methodology for Evaluating Aggregated Search Results (JA, FD, JC, BC), pp. 141–152.
KEODKEOD-2011-OngenaeBSVGJZVAT #design #ontology #towards
Participatory Design of a Continuous Care Ontology — Towards a User-driven Ontology Engineering Methodology (FO, LB, NS, MV, MvG, AJ, SDZ, PV, AA, FDT), pp. 81–90.
SEKESEKE-2011-ZaniFN #agile #architecture
Current State of Reference Architectures in the Context of Agile Methodologies (VATZ, DF, EYN), pp. 590–595.
MODELSMoDELS-2011-KulkarniBR #agile #approach #experience #modelling
Early Experience with Agile Methodology in a Model-Driven Approach (VK, SB, UR), pp. 578–590.
MODELSMoDELS-2011-KulkarniBR #agile #approach #experience #modelling
Early Experience with Agile Methodology in a Model-Driven Approach (VK, SB, UR), pp. 578–590.
DACDAC-2010-KahngKKS #design #power management
Recovery-driven design: a power minimization methodology for error-tolerant processor modules (ABK, SK, RK, JS), pp. 825–830.
DACDAC-2010-LinC #design
Cross-contamination aware design methodology for pin-constrained digital microfluidic biochips (CCYL, YWC), pp. 641–646.
DACDAC-2010-MarianiBPJZS #design #multi
A correlation-based design space exploration methodology for multi-processor systems-on-chip (GM, AB, GP, JJ, VZ, CS), pp. 120–125.
DATEDATE-2010-BanerjeeASNO #design
A methodology for propagating design tolerances to shape tolerances for use in manufacturing (SB, KBA, CNS, SRN, MO), pp. 1273–1278.
DATEDATE-2010-ChouYCDK #case study #design #nondeterminism #scalability
Finding reset nondeterminism in RTL designs — scalable X-analysis methodology and case study (HZC, HY, KHC, DD, SYK), pp. 1494–1499.
DATEDATE-2010-DixitDR #component #embedded #realtime
Taming the component timing: A CBD methodology for real-time embedded systems (MGD, PD, SR), pp. 1649–1652.
DATEDATE-2010-HernandezSD #process
A methodology for the characterization of process variation in NoC links (CH, FS, JD), pp. 685–690.
DATEDATE-2010-HsuYC #architecture #framework #refinement
An accurate system architecture refinement methodology with mixed abstraction-level virtual platform (ZMH, JCY, IYC), pp. 568–573.
DATEDATE-2010-YangAFK #design #power management #reliability
Scan based methodology for reliable state retention power gating designs (SY, BMAH, DF, SSK), pp. 69–74.
CSEETCSEET-2010-LiuKC #education #testing
Teaching an End-User Testing Methodology (HL, FCK, TYC), pp. 81–88.
CSMRCSMR-2010-SchrettnerHFFB #architecture #development #re-engineering
Development of a Methodology, Software — Suite and Service for Supporting Software Architecture Reconstruction (LS, PH, RF, LJF, TB), pp. 190–193.
CHICHI-2010-KomatsuYKFN
Artificial subtle expressions: intuitive notification methodology of artifacts (TK, SY, KK, KF, MN), pp. 1941–1944.
CHICHI-2010-MedlerM #design #game studies
The implications of improvisational acting and role-playing on design methodologies (BM, BM), pp. 483–492.
AdaSIGAda-2010-JemliR #compilation #problem #static analysis #using
A methodology for avoiding known compiler problems using static analysis (MJ, JPR), pp. 23–30.
CAiSECAiSE-2010-SenS #lifecycle #named
GRUVe: A Methodology for Complex Event Pattern Life Cycle Management (SS, NS), pp. 209–223.
ICEISICEIS-ISAS-2010-CuzzocreaLI #information management #modelling
A Process-driven Methodology for Modeling Service-oriented Complex Information Systems (AC, ADL, SI), pp. 390–398.
ICPRICPR-2010-Nowak #challenge #contest
ImageCLEF@ICPR Contest: Challenges, Methodologies and Results of the Photo Annotation Task (SN), pp. 489–492.
ICPRICPR-2010-OReillyP #analysis #prototype #statistics
Prototype-Based Methodology for the Statistical Analysis of Local Features in Stereotypical Handwriting Tasks (CO, RP), pp. 1864–1867.
KEODKEOD-2010-CruanesGR #automation #development #ontology
Proposal of a Methodological and Technological Development for Automatic Ontology Extension (JC, RMG, MTRF), pp. 471–475.
KEODKEOD-2010-GilCM #case study #evaluation #learning #ontology
A Systemic Methodology for Ontology Learning — An Academic Case Study and Evaluation (RG, LC, MJMB), pp. 206–212.
SEKESEKE-2010-Pelaez #convergence #forensics #modelling #network
A Pattern Methodology for Modeling Network Forensic Investigations in Converged Tactical Environments (JCP), pp. 777–782.
ECMFAECMFA-2010-KoudriCLL #modelling
MoPCoM Methodology: Focus on Models of Computation (AK, JC, JCLL, VL), pp. 189–200.
MODELSMoDELS-v1-2010-IqbalAB #black box #case study #embedded #industrial #modelling #realtime #testing #uml
Environment Modeling with UML/MARTE to Support Black-Box System Testing for Real-Time Embedded Systems: Methodology and Industrial Case Studies (MZZI, AA, LCB), pp. 286–300.
OOPSLAOOPSLA-2010-ItzhakyGIS #induction #synthesis
A simple inductive synthesis methodology and its applications (SI, SG, NI, MS), pp. 36–46.
SACSAC-2010-FariaMLPA #interactive #validation #visual notation
A new methodology for photometric validation in vehicles visual interactive systems (AWCF, DM, DSDL, GLP, AdAA), pp. 948–953.
SACSAC-2010-PuvianiCCM #experience
Building an agent methodology from fragments: the MEnSA experience (MP, MC, GC, AM), pp. 920–927.
ICSEICSE-2010-Malik #analysis
A methodology to support load test analysis (HM), pp. 421–424.
ICSEICSE-2010-Meedeniya #architecture #evaluation #incremental #modelling #probability
An incremental methodology for quantitative software architecture evaluation with probabilistic models (IM), pp. 339–340.
ICSEICSE-2010-Wieringa #design
Design science methodology: principles and practice (RW), pp. 493–494.
ICLPICLP-2010-OetschPT10 #source code
Methods and Methodologies for Developing Answer-Set Programs — Project Description (JO, JP, HT), pp. 154–161.
ICSTICST-2010-MadaniPP #case study #testing #towards
Towards a Testing Methodology for Reactive Systems: A Case Study of a Landing Gear Controller (LM, VP, IP), pp. 489–497.
ICSTSAT-2010-Nikolic #comparison #satisfiability #statistics
Statistical Methodology for Comparison of SAT Solvers (MN), pp. 209–222.
ASEASE-2009-Balagtas-FernandezH #analysis #framework #mobile #usability
A Methodology and Framework to Simplify Usability Analysis of Mobile Applications (FTBF, HH), pp. 520–524.
DACDAC-2009-LinC #design
ILP-based pin-count aware design methodology for microfluidic biochips (CCYL, YWC), pp. 258–263.
DATEDATE-2009-BeltrameFS #design #realtime
A real-time application design methodology for MPSoCs (GB, LF, DS), pp. 767–772.
DATEDATE-2009-ChenW #3d #modelling #simulation
New simulation methodology of 3D surface roughness loss for interconnects modeling (QC, NW), pp. 1184–1189.
DATEDATE-2009-GoyalSC #novel #self
A novel self-healing methodology for RF Amplifier circuits based on oscillation principles (AG, MS, AC), pp. 1656–1661.
DATEDATE-2009-KasperskiPDS #architecture #configuration management #development #flexibility
High data rate fully flexible SDR modem advanced configurable architecture & development methodology (FK, OP, FD, MS), pp. 1040–1044.
DATEDATE-2009-KeCG #configuration management #design
A design methodology for fully reconfigurable Delta-Sigma data converters (YK, JC, GGEG), pp. 1379–1384.
DATEDATE-2009-MazziniPV #development #realtime
An MDE methodology for the development of high-integrity real-time systems (SM, SP, TV), pp. 1154–1159.
DATEDATE-2009-SchlichtmannSKPGDEH #design #how #statistics
Digital design at a crossroads How to make statistical design methodologies industrially relevant (US, MS, HK, MP, VG, MD, UE, JH), pp. 1542–1547.
DATEDATE-2009-SioziosPS #3d #architecture
A software-supported methodology for exploring interconnection architectures targeting 3-D FPGAs (KS, VFP, DS), pp. 172–177.
ICDARICDAR-2009-LouloudisSG #evaluation #novel #segmentation #word
A Novel Two Stage Evaluation Methodology for Word Segmentation Techniques (GL, NS, BG), pp. 686–690.
ICDARICDAR-2009-StamatopoulosGP #documentation #evaluation #image #performance
A Methodology for Document Image Dewarping Techniques Performance Evaluation (NS, BG, IP), pp. 956–960.
ICDARICDAR-2009-VamvakasGP #classification #documentation #feature model #novel #recognition
A Novel Feature Extraction and Classification Methodology for the Recognition of Historical Documents (GV, BG, SJP), pp. 491–495.
SIGMODSIGMOD-2009-WeiLLGRC
Supporting a spectrum of out-of-order event processing technologies: from aggressive to conservative methodologies (MW, ML, ML, DG, EAR, KTC), pp. 1031–1034.
ITiCSEITiCSE-2009-PharrSS #development
Paired professional development: a methodology for continued professional development in computer science (WP, CWS, CSS), pp. 218–222.
CEFPCEFP-2009-KoopmanPA #consistency #effectiveness #semantics
An Effective Methodology for Defining Consistent Semantics of Complex Systems (PWMK, RP, PA), pp. 224–267.
CHICHI-2009-GrossmanFA #bibliography #guidelines #metric
A survey of software learnability: metrics, methodologies and guidelines (TG, GWF, RA), pp. 649–658.
HCIDHM-2009-Filla #modelling
A Methodology for Modeling the Influence of Construction Machinery Operators on Productivity and Fuel Consumption (RF), pp. 614–623.
HCIHCD-2009-NieminenKRM #analysis #fine-grained #testing #towards #usability
Towards Fine-Grained Usability Testing: New Methodological Directions with Conversation Analysis (MN, SK, SR, PM), pp. 879–887.
HCIHCI-NIMT-2009-Jain #human-computer #multimodal #using
Value of Using Multimodal Data in HCI Methodologies (JJ), pp. 48–57.
HCIHCI-VAD-2009-SancarCITOE #case study #education #validation
Developing a Validation Methodology for Educational Driving Simulators and a Case Study (HS, , VI, GT, NO, UE), pp. 760–769.
HCIIDGD-2009-KondratovaG #design #development #interface #research
Cultural Interface Design Advisor Tool: Research Methodology and Practical Development Efforts (IK, IG), pp. 259–265.
CAiSECAiSE-2009-BianchiniCAP #design #named #process #web #web service
P2S: A Methodology to Enable Inter-organizational Process Design through Web Services (DB, CC, VDA, BP), pp. 334–348.
ICEISICEIS-AIDSS-2009-JuanRMJF #problem
A Simulation-based Methodology to Assist Decision-makers in Real Vehicle Routing Problems (AAJ, DR, DM, JJ, JF), pp. 212–217.
ICEISICEIS-HCI-2009-MazieroSG #aspect-oriented #communication #evaluation #interactive #interface
The Impact of Interface Aspects on Interactive Map Communication — An Evaluation Methodology (LPM, CRS, LSG), pp. 105–112.
ICEISICEIS-J-2009-BittencourtBCPVDL #modelling #semantics #web
Modeling JADE Agents from GAIA Methodology under the Perspective of Semantic Web (IIB, PB, EdBC, JPP, DV, DD, HPLL), pp. 780–789.
KEODKEOD-2009-CardilloTS #hybrid #information management
A Hybrid Methodology for Consumer-oriented Healthcare Knowledge Acquisition (EC, AT, LS), pp. 64–71.
KEODKEOD-2009-ChimientiDNM #evaluation #ontology
Evaluation of Ontology Building Methodologies — A Method based on Balanced Scorecards (MC, MD, ADN, MM), pp. 141–146.
KMISKMIS-2009-FalcaoNC #agile #data transformation #education #optimisation #process
Application of Lean Six Sigma Methodology to Optimization Processes of Data Management in an Educational Context (SF, FN, AC), pp. 309–312.
KMISKMIS-2009-SerranoA #approach #implementation #information management #towards
Knowledge Management Implementation Methodology — Towards a Practical Approach (DBS, RMdA), pp. 305–308.
SEKESEKE-2009-AtkinsonD #analysis #modelling #re-engineering #social
Analysis of Agent Oriented Software Engineering Methodologies for Social Causal Models (MA, SD), pp. 157–163.
SIGIRSIGIR-2009-AslamKPSY #documentation #effectiveness #performance #ranking
Document selection methodologies for efficient and effective learning-to-rank (JAA, EK, VP, SS, EY), pp. 468–475.
RERE-2009-GhanavatiAP #analysis #evaluation
Compliance Analysis Based on a Goal-oriented Requirement Language Evaluation Methodology (SG, DA, LP), pp. 133–142.
RERE-2009-LieglSZHWABKMMW #process #requirements
[vem: xi: ] — A Methodology for Process Based Requirements Engineering (PL, RS, MZ, CH, HW, MA, MB, BK, MM, RM, MW), pp. 193–202.
SACSAC-2009-MolesiniDNO #process
Situated process engineering for integrating processes from methodologies to infrastructures (AM, ED, EN, AO), pp. 699–706.
ASEASE-2008-EdwardsM #development #framework
A Methodology and Framework for Creating Domain-Specific Development Infrastructures (GE, NM), pp. 168–177.
ASEASE-2008-IspirC #aspect-oriented #programming #verification
An Assume Guarantee Verification Methodology for Aspect-Oriented Programming (MI, ABC), pp. 391–394.
CASECASE-2008-AlvaresSTF
A methodology for web-based manufacturing management and control (AJA, JLNDSJ, ELST, JCEF), pp. 668–673.
DACDAC-2008-Abu-RahmaCWCYA #estimation #statistics
A methodology for statistical estimation of read access yield in SRAMs (MHAR, KC, JW, ZC, SSY, MA), pp. 205–210.
DACDAC-2008-AlkabaniK #design
N-variant IC design: methodology and applications (YA, FK), pp. 546–551.
DACDAC-2008-PatelP #design #hardware #named #reliability #security
SHIELD: a software hardware design methodology for security and reliability of MPSoCs (KP, SP), pp. 858–861.
DATEDATE-2008-BadelGIMVGL #design #difference #standard
A Generic Standard Cell Design Methodology for Differential Circuit Styles (SB, EG, OI, APM, PV, FKG, YL), pp. 843–848.
DATEDATE-2008-BernardiR #novel #testing
An novel Methodology for Reducing SoC Test Data Volume on FPGA-based Testers (PB, MSR), pp. 194–199.
DATEDATE-2008-GaidKSH #design #embedded #lifecycle
A methodology for improving software design lifecycle in embedded control systems (MEMBG, RK, YS, RH), pp. 533–536.
DATEDATE-2008-MayerH #architecture #optimisation #performance
System Performance Optimization Methodology for Infineon’s 32-Bit Automotive Microcontroller Architecture (AM, FH), pp. 962–966.
DATEDATE-2008-PaulssonHB #integration #metric #power management
Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity-based Measurement Application on Xilinx FPGAs (KP, MH, JB), pp. 50–55.
DATEDATE-2008-SamiiREP #distributed #estimation #realtime #simulation #worst-case
A Simulation Methodology for Worst-Case Response Time Estimation of Distributed Real-Time Systems (SS, SR, PE, ZP), pp. 556–561.
VLDBVLDB-2008-Hopfgartner #interactive #retrieval #video
Studying interaction methodologies in video retrieval (FH), pp. 1604–1608.
TACASTACAS-2008-Malik #hardware #verification
Hardware Verification: Techniques, Methodology and Solutions (SM), p. 1.
ICSMEICSM-2008-CornelissenMZ #assessment #reduction
An assessment methodology for trace reduction techniques (BC, LM, AZ), pp. 107–116.
ICSMEICSM-2008-Lozano #source code
A methodology to assess the impact of source code flaws in changeability, and its application to clones (AL), pp. 424–427.
CHICHI-2008-MiaskiewiczSK #analysis #identification #semantics
A latent semantic analysis methodology for the identification and creation of personas (TM, TS, KAK), pp. 1501–1510.
ICEISICEIS-DISI-2008-CarstensenSH #enterprise #modelling #towards
Towards A Methodology for Modelling Interoperability between Collaborating Enterprises (AC, KS, LH), pp. 333–338.
ICEISICEIS-DISI-2008-MouzakitisSLP #approach #integration
A Methodological Approach for Measuring B2B Integration Readiness of SMEs (SM, AMS, FL, JEP), pp. 298–303.
ICEISICEIS-ISAS1-2008-LiuKL #specification
A FAHP-Based Technology Selection and Specification Methodology (KCL, DFK, DL), pp. 161–168.
ICEISICEIS-ISAS2-2008-CuzzocreaGS #information management #modelling
A Process-Driven Methodology for Continuous Information Systems Modeling (AC, AG, DS), pp. 82–88.
ICEISICEIS-ISAS2-2008-FranciscoMMMP #modelling #process #semantics
Methodological Extensions for Semantic Business Process Modeling (DdFM, IM, JM, HM, NP), pp. 410–415.
ICEISICEIS-ISAS2-2008-GrimanPOM #approach #quality #web
Context-Oriented Web Methodology with a Quality Approach (AG, MAP, MO, LEM), pp. 213–219.
ICEISICEIS-ISAS2-2008-HammoudiAL #architecture #automation #process #towards
Towards a Semi-Automatic Transformation Process in MDA — Architecture and Methodology (SH, WA, DL), pp. 416–425.
SEKESEKE-2008-BelmonteD #automation #domain model #re-engineering
Automating a Domain Model Aware Reengineering Methodology (JB, PD), pp. 129–136.
SEKESEKE-2008-LeiteGC #multi #named
MAAEM: a Multi-agent Application Engineering Methodology (AL, RG, UC), pp. 735–740.
ECMFAECMDA-FA-2008-AsadiR #bibliography
MDA-Based Methodologies: An Analytical Survey (MA, RR), pp. 419–431.
MODELSMoDELS-2008-ShoushaBL #algorithm #analysis #concurrent #search-based #uml
A UML/SPT Model Analysis Methodology for Concurrent Systems Based on Genetic Algorithms (MS, LCB, YL), pp. 475–489.
MODELSMoDELS-2008-ShoushaBL #algorithm #analysis #concurrent #search-based #uml
A UML/SPT Model Analysis Methodology for Concurrent Systems Based on Genetic Algorithms (MS, LCB, YL), pp. 475–489.
SACSAC-2008-CernuzziZ #analysis #comparative #evaluation
Profile based comparative analysis for AOSE methodologies evaluation (LC, FZ), pp. 60–65.
SACSAC-2008-Klugl #simulation #validation
A validation methodology for agent-based simulations (FK), pp. 39–43.
SACSAC-2008-LuCL #embedded #hybrid #self
A hybrid software-based self-testing methodology for embedded processor (THL, CHC, KJL), pp. 1528–1534.
PPoPPPPoPP-2008-HerlihyK #transaction
Transactional boosting: a methodology for highly-concurrent transactional objects (MH, EK), pp. 207–216.
ICSTICST-2008-BaruzzoC #modelling #uml
A Methodology for UML Models V&V (AB, MC), pp. 513–516.
CASECASE-2007-GarciaV #automation #configuration management #feature model #visual notation
Automated Feature Selection Methodology for Reconfigurable Automated Visual Inspection Systems (HCG, JRV), pp. 542–547.
CASECASE-2007-LeeEP
Proposed Methodology for Dynamic Schedule Compression (JL, RDEJ, JHP), pp. 986–991.
CASECASE-2007-ZhangJ0 #multi #scheduling
Multi-criteria Dynamic Scheduling Methodology for Controlling a Semiconductor Wafer Fabrication System (HZ, ZJ, HH), pp. 213–218.
DACDAC-2007-KangKR #design #power management #using
Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop (KK, KK, KR), pp. 934–939.
DACDAC-2007-KasuyaT #design #verification
Verification Methodologies in a TLM-to-RTL Design Flow (AK, TT), pp. 199–204.
DACDAC-2007-SheeP #design #multi #pipes and filters
Design Methodology for Pipelined Heterogeneous Multiprocessor System (SLS, SP), pp. 811–816.
DATEDATE-2007-Al-SammaneZT #design #verification
A symbolic methodology for the verification of analog and mixed signal designs (GAS, MHZ, ST), pp. 249–254.
DATEDATE-2007-BronckersSPVR #analysis #interactive #simulation #verification
Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO’s (SB, CS, GVdP, GV, YR), pp. 1520–1525.
DATEDATE-2007-CrepaldiCGZ #design #effectiveness #top-down
An effective AMS top-down methodology applied to the design of a mixed-signal UWB system-on-chip (MC, MRC, MG, MZ), pp. 1424–1429.
DATEDATE-2007-EeckelaertSGSS #performance #synthesis
An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection (TE, RS, GGEG, MS, WMCS), pp. 81–86.
DATEDATE-2007-MarianiBC #design #using
Using an innovative SoC-level FMEA methodology to design in compliance with IEC61508 (RM, GB, FC), pp. 492–497.
DATEDATE-2007-PardessusDA #embedded
The methodological and technological dimensions of technology transfer for embedded systems in aeronautics and space (TP, HD, RA), pp. 1108–1109.
DATEDATE-2007-PetersenO #2d #scalability #towards
Toward a scalable test methodology for 2D-mesh Network-on-Chips (KP, ), pp. 367–372.
DATEDATE-2007-PoppNGKP #architecture #evaluation #interactive #towards
Interactive presentation: Towards a methodology for the quantitative evaluation of automotive architectures (PP, MDN, PG, SK, CP), pp. 504–509.
DATEDATE-2007-SunWD #configuration management #design
Flexibility-oriented design methodology for reconfigurable DeltaSigma modulators (PS, YW, AD), pp. 415–420.
DATEDATE-2007-YeungTB #framework #interactive #interface #multi #novel
Interactive presentation: Novel test infrastructure and methodology used for accelerated bring-up and in-system characterization of the multi-gigahertz interfaces on the cell processor (PY, AT, PB), pp. 725–730.
HTHT-2007-WillsACCGHMWW #agile #design #hypermedia
An agile hypertext design methodology (GBW, NA, RC, RMC, LG, YMH, DEM, SCW, RJW), pp. 181–184.
MSRMSR-2007-HindleGH #case study #clustering
Release Pattern Discovery via Partitioning: Methodology and Case Study (AH, MWG, RCH), p. 19.
CHICHI-2007-FlanaganN #design #game studies #social
A game design methodology to incorporate social activist themes (MF, HN), pp. 181–190.
HCIDHM-2007-ColomboFRR #design #simulation
ICT Methodologies to Model and Simulate Parts of Human Body for Prosthesis Design (GC, SF, PR, CR), pp. 559–568.
HCIDHM-2007-MaglaverasC #simulation
Methodologies to Evaluate Simulations of Cardiac Tissue Abnormalities at a Cellular Level (NM, IC), pp. 694–702.
HCIHCI-AS-2007-SanchezSS #game studies #learning #mobile
Mobile Game-Based Methodology for Science Learning (JS, AS, MS), pp. 322–331.
HCIHIMI-IIE-2007-WakitaAT #metric #mobile #prototype #using
Methodology for Constructing a Prototype Site for Finding Employment SPI Measures Using Mobile Phones (SW, MA, TT), pp. 983–993.
HCIHIMI-MTT-2007-JungK #information management
A Methodology for Construction Information System for Small Size Organization with Excel/VBA (HSJ, THK), pp. 642–649.
HCIHIMI-MTT-2007-LinL #analysis #automation
A Bayesian Methodology for Semi-automated Task Analysis (SCL, MRL), pp. 697–704.
HCIOCSC-2007-ChenKH #development #modelling #multi #requirements
Modelling and Matching: A Methodology for ePlanning System Development to Address the Requirements of Multiple User Groups (YC, MK, AH), pp. 41–49.
EDOCEDOC-2007-SurmsukT #information management
The Integrated Strategic Information System Planning Methodology (PS, ST), pp. 467–475.
ICEISICEIS-AIDSS-2007-RamabadranG #approach #flexibility #learning
Intelligent E-Learning Systems — An Intelligent Approach to Flexible Learning Methodologies (SR, VG), pp. 107–112.
ICEISICEIS-AIDSS-2007-Rodriguez-EliasMFVS #analysis #approach #design #identification #information management
Knowledge Flow Analysis to Identify Knowledge Needs for the Design of Knowledge Management Systems and Strategies — A Methodological Approach (OMRE, AIMG, JF, AV, JPS), pp. 492–497.
ICEISICEIS-DISI-2007-SantosB07a #integration #realtime
A continuous data integration methodology for supporting real-time data warehousing (RJS, JB), pp. 589–598.
ICEISICEIS-EIS-2007-AvisonP #design #development #information management
Designing an Appropriate Information Systems Development Methodology for Different Situations (DEA, JPH), pp. 63–70.
ICEISICEIS-J-2007-AvisonP07a #design #development #flexibility #information management
Flexible Information Systems Development: Designing an Appropriate Methodology for Different Situations (DEA, JPH), pp. 212–224.
ICEISICEIS-SAIC-2007-DrumondGL #case study #modelling #recommendation #specification
A Case Study on the Application of the MAAEM Methodology for the Specification Modeling of Recommender Systems in the Legal Domain (LD, RG, AL), pp. 155–160.
ECIRECIR-2007-BaillieAR #evaluation #retrieval
A Retrieval Evaluation Methodology for Incomplete Relevance Assessments (MB, LA, IR), pp. 271–282.
SEKESEKE-2007-Fredrick #agile #development #implementation
Implementing Agile Development — More than Changing Methodology (CF), pp. 751–754.
SEKESEKE-2007-Gomez-PerezRV #reuse #standard
Methodology for Reusing Human Resources Management Standards (AGP, JR, BVT), pp. 280–285.
MODELSMoDELS-2007-BatoriTA #configuration management #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
MODELSMoDELS-2007-BatoriTA #configuration management #modelling
Domain Specific Modeling Methodology for Reconfigurable Networked Systems (GB, ZT, DA), pp. 316–330.
RERE-2007-Aoyama #requirements
Persona-Scenario-Goal Methodology for User-Centered Requirements Engineering (MA), pp. 185–194.
RERE-2007-LuoST #hybrid #modelling #music
Modelling a Smart Music Player with a Hybrid Agent-Oriented Methodology (YL, LS, KT), pp. 281–286.
SACSAC-2007-BoussellaaZA #hybrid #using
A methodology for the separation of foreground/background in Arabic historical manuscripts using hybrid methods (WB, AZ, AMA), pp. 605–609.
SPLCSPLC-2007-Krueger #generative #product line
The 3-Tiered Methodology: Pragmatic Insights from New Generation Software Product Lines (CWK), pp. 97–106.
ASEASE-2006-LaurentSW #automation #constraints #functional #generative #specification #testing
A methodology for automated test generation guided by functional coverage constraints at specification level (OL, CS, VW), pp. 285–288.
CASECASE-2006-RenWJXY #analysis #fault
A Methodology to Model Human and Organisational Errors on Offshore Risk Analysis (JR, JW, IJ, DLX, JBY), pp. 144–149.
CASECASE-2006-Wen #collaboration #design #problem #research
A Model for Industry-University Collaborative Research: Transforming Specific Problem Solution into Broad Design Methodologies (JTW), p. 1.
DACDAC-2006-EeckelaertSGSS #design #optimisation #standard
Hierarchical bottom--up analog optimization methodology validated by a delta-sigma A/D converter design for the 802.11a/b/g standard (TE, RS, GGEG, MS, WMCS), pp. 25–30.
DACDAC-2006-GeorgelinK #design #equivalence #towards
Towards a C++-based design methodology facilitating sequential equivalence checking (PG, VK), pp. 93–96.
DACDAC-2006-HosseiniPCUGB #design #question #standard #verification
Building a standard ESL design and verification methodology: is it just a dream? (AH, AP, HTC, PU, EFG, SB), pp. 370–371.
DACDAC-2006-IyengarGT #flexibility #scalability
A flexible and scalable methodology for GHz-speed structural test (VI, GG, MT), pp. 314–319.
DACDAC-2006-KimSKE #design #physics #power management #standard
Physical design methodology of power gating circuits for standard-cell-based design (HOK, YS, HK, IE), pp. 109–112.
DACDAC-2006-MandrekarBSES #analysis
System level signal and power integrity analysis methodology for system-in-package applications (RM, KB, KS, EE, MS), pp. 1009–1012.
DACDAC-2006-ZouMGS #optimisation
A CPPLL hierarchical optimization methodology considering jitter, power and locking time (JZ, DM, HEG, US), pp. 19–24.
DATEDATE-2006-BalarinP #functional #generative #interface #specification #verification
Functional verification methodology based on formal interface specification and transactor generation (FB, RP), pp. 1013–1018.
DATEDATE-2006-BartzasMPACST #data type #design #energy #network #refinement
Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications (AB, SM, GP, DA, FC, DS, AT), pp. 740–745.
DATEDATE-2006-ChakrabortySDMMP #bound #optimisation
Thermal resilient bounded-skew clock tree optimization methodology (AC, PS, KD, AM, EM, MP), pp. 832–837.
DATEDATE-2006-ChenMBR #case study #design #power management
Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design (QC, SM, AB, KR), pp. 983–988.
DATEDATE-2006-HelyBFR #design
A secure scan design methodology (DH, FB, MLF, BR), pp. 1177–1178.
DATEDATE-2006-MuraliCRGM #multi #network
A methodology for mapping multiple use-cases onto networks on chips (SM, MC, AR, KG, GDM), pp. 118–123.
DATEDATE-2006-WeiTD #communication #configuration management #design #multi
Systematic methodology for designing reconfigurable Delta-Sigma modulator topologies for multimode communication systems (YW, HT, AD), pp. 393–398.
DATEDATE-2006-X #architecture #design #tool support
4G applications, architectures, design methodology and tools for MPSoC, pp. 830–831.
DATEDATE-2006-ZhangZD #modelling #named #parametricity #process
ALAMO: an improved alpha-space based methodology for modeling process parameter variations in analog circuits (HZ, YZ, AD), pp. 156–161.
DATEDATE-DF-2006-HuttonYSBCCP #synthesis #verification
A methodology for FPGA to structured-ASIC synthesis and verification (MH, RY, JS, GB, SC, KKC, HKP), pp. 64–69.
ITiCSEITiCSE-2006-Godejord #education #social #using
Exploring teacher methodology: using the example of sexual abuse of children as a way of teaching social informatics (PAG), p. 340.
ESOPESOP-2006-LeinoM #verification
A Verification Methodology for Model Fields (KRML, PM), pp. 115–130.
ICPCICPC-2006-JinC #reverse engineering #tool support #using
Integrating Reverse Engineering Tools Using a Service-Sharing Methodology (DJ, JRC), pp. 94–99.
ICSMEICSM-2006-Kajko-MattssonLSNCHNS #agile #lifecycle
Long-term Life Cycle Impact of Agile Methodologies (MKM, GAL, DS, TN, NC, MH, JN, HS), pp. 422–425.
ICSMEICSM-2006-TonellaAS #ranking #testing #using
Using the Case-Based Ranking Methodology for Test Case Prioritization (PT, PA, AS), pp. 123–133.
SEFMSEFM-2006-AlpuenteBFR #automation
A Semi-Automatic Methodology for Repairing FaultyWeb Sites (MA, DB, MF, DR), pp. 31–40.
ICEISICEIS-ISAS-2006-BarresiRML #integration #semantics
Methodology to Support Semantic Resources Integration in the Construction Sector (SB, YR, FM, CL), pp. 94–101.
ICEISICEIS-ISAS-2006-GrimanPM #approach #development #guidelines #process
Methodological Guidelines for SQA in Development Process — An Approach Based on the SPICE Model (AG, MAP, LEM), pp. 269–275.
ICEISICEIS-ISAS-2006-SturmDS #domain model #modelling
Domain Modeling with Object-Process Methodology (AS, DD, OS), pp. 144–151.
ICPRICPR-v4-2006-ArifBV
A fusion methodology based on Dempster-Shafer evidence theory for two biometric applications (MA, TB, NV), pp. 590–593.
SEKESEKE-2006-AguilaCPT #development #hybrid #towards
Towards a Methodology for Hybrid Systems Software Development (IMdÁ, JC, JTP, ST), pp. 188–193.
SEKESEKE-2006-CostabileFMMP #design #interactive #visual notation
Nogueira A Design Methodology for Tailorable Visual Interactive Systems (MFC, DF, AM, PM, AP), pp. 450–455.
ECMFAECMDA-FA-2006-EstevezGPLTAR #integration
Systems Integration Methodology Based on MDA (AE, JDG, JP, CL, MT, BA, JLR), pp. 13–24.
ECMFAECMDA-FA-2006-GuzmanPP #database #re-engineering #web #web service
A Methodology for Database Reengineering to Web Services (IGRdG, MP, MP), pp. 226–240.
MODELSMoDELS-2006-BruckerDW #analysis #model transformation #semantics
A Model Transformation Semantics and Analysis Methodology for SecureUML (ADB, JD, BW), pp. 306–320.
MODELSMoDELS-2006-BruckerDW #analysis #model transformation #semantics
A Model Transformation Semantics and Analysis Methodology for SecureUML (ADB, JD, BW), pp. 306–320.
RERE-2006-GrauFA #java #named #process #re-engineering
J-PRiM: A Java Tool for a Process Reengineering i* Methodology (GG, XF, ), pp. 352–353.
SACSAC-2006-Gomez-SanzP #coordination #multi #re-engineering
Defining coordination in multi-agent systems within an agent oriented software engineering methodology (JJGS, JP), pp. 424–428.
SACSAC-2006-NevesCFB #knowledge base
A new table interpretation methodology with little knowledge base: table interpretation methodology (LAPN, JMdC, JF, FB), pp. 847–852.
SACSAC-2006-Rowlands #development #social
The user as social actor: a focus on systems development methodology enactment (BHR), pp. 1540–1545.
SACSAC-2006-WongZC #metric #modelling #statistics
Applying statistical methodology to optimize and simplify software metric models with missing data (WEW, JZ, VKYC), pp. 1728–1733.
SACSAC-2006-ZamolotskikhDC #bias #classification
A methodology for comparing classifiers that allow the control of bias (AZ, SJD, PC), pp. 582–587.
ICSEICSE-2006-LeeKC #development
A series of development methodologies for a variety of systems in Korea (JL, JSK, JHC), pp. 612–615.
ICSEICSE-2006-VerlekarA #analysis #distributed #performance
A methodology and tool for performance analysis of distributed server systems (RPV, VA), pp. 913–916.
DACDAC-2005-BacchiniMFBNMD #question #verification
Is methodology the highway out of verification hell? (FB, GM, HF, JB, MN, SM, LD), pp. 521–522.
DACDAC-2005-ChenardCZP #design
Design methodology for wireless nodes with printed antennas (JSC, CYC, ZZ, MP), pp. 291–296.
DACDAC-2005-EberleBPC #communication #design #energy
From myth to methodology: cross-layer design for energy-efficient wireless communication (WE, BB, SP, FC), pp. 303–308.
DACDAC-2005-KheterpalRHMTSP #design
Design methodology for IC manufacturability based on regular logic-bricks (VK, VR, TGH, DM, YT, AJS, LTP), pp. 353–358.
DACDAC-2005-WassungZABH #design
Choosing flows and methodologies for SoC design (DW, YZ, MSA, MB, CH), p. 167.
DATEDATE-2005-BhaduriV #higher-order #induction #metric
Inductive and Capacitive Coupling Aware Routing Methodology Driven by a Higher Order RLCK Moment Metric (AB, RV), pp. 922–923.
DATEDATE-2005-CasuM #design #pipes and filters
A New System Design Methodology for Wire Pipelined SoC (MRC, LM), pp. 944–945.
DATEDATE-2005-KitaharaKMSF #design #multi #power management #reduction
Area-Efficient Selective Multi-Threshold CMOS Design Methodology for Standby Leakage Power Reduction (TK, NK, FM, KS, TF), pp. 646–647.
DATEDATE-2005-MilevB #analysis
A Tool and Methodology for AC-Stability Analysis of Continuous-Time Closed-Loop Systems (MM, RB), pp. 204–208.
DATEDATE-2005-MuraliM #design #generative
An Application-Specific Design Methodology for STbus Crossbar Generation (SM, GDM), pp. 1176–1181.
DATEDATE-2005-RiccobeneSRB #design #uml
A SoC Design Methodology Involving a UML 2.0 Profile for SystemC (ER, PS, AR, SB), pp. 704–709.
DATEDATE-2005-SoensPWD #analysis #simulation
Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance (CS, GVdP, PW, SD), pp. 270–275.
DATEDATE-2005-Wu #testing
SOC Testing Methodology and Practice (CWW), pp. 1120–1121.
PODSPODS-2005-ChengVKW #clustering
A divide-and-merge methodology for clustering (DC, SV, RK, GW), pp. 196–205.
ITiCSEITiCSE-2005-BenayaZ #education #java #programming
Advanced programming in java workshop: teaching methodology (TB, EZ), p. 348.
ITiCSEITiCSE-2005-Luque #education #evolution #question
Is evolution or revolution the way for improving the teaching methodology in computer science? (EL), p. 2.
ICSMEICSM-2005-JinC #analysis #integration #ontology #re-engineering #tool support
Ontology-Based Software Analysis and Reengineering Tool Integration: The OASIS Service-Sharing Methodology (DJ, JRC), pp. 613–616.
SFMSFM-2005-AcquavivaABBBL #formal method #impact analysis #power management #predict
A Methodology Based on Formal Methods for Predicting the Impact of Dynamic Power Management (AA, AA, MB, AB, EB, EL), pp. 155–189.
SOFTVISSOFTVIS-2005-GestwickiJ #architecture
Methodology and architecture of JIVE (PVG, BJ), pp. 95–104.
ICEISICEIS-v2-2005-RomeroOG #email
A Methodology for Intelligent E-Mail Management (FPR, JAO, PJG), pp. 11–16.
ICEISICEIS-v3-2005-RajuganDCF #design #uml #xml
XML Views, Part III: An UML Based Design Methodology for XML Views (RR, TSD, EC, LF), pp. 19–28.
ICEISICEIS-v3-2005-XuZ #modelling #multi
A Methodology for Role-Based Modeling of Open Multi-Agent Software Systems (HX, XZ), pp. 246–253.
ECIRECIR-2005-ImafouoB #modelling #retrieval #scalability
Scalability Influence on Retrieval Models: An Experimental Methodology (AI, MB), pp. 388–402.
SEKESEKE-2005-Chiang #design #parallel #programming
A Design Methodology for Parallel Programming (CCC), pp. 727–730.
SEKESEKE-2005-FuDH #architecture #automation #design
A Methodology of Automated Realization of a Software Architecture Design (YF, ZD, XH), pp. 412–417.
SEKESEKE-2005-GrauFMACHNBQ #dependence #modelling #named
RiSD: A Methodology for Building i-Strategic Dependency Models (GG, XF, EM, CPA, CC, MH, FN, PB, CQ), pp. 259–266.
SEKESEKE-2005-TynanRO #development #multi #network
A Methodology for the Development of Multi-Agent Systems on Wireless Sensor Networks (RT, AGR, GMPO), pp. 68–75.
RERE-2005-GeorgiadesAP #natural language #requirements #semantics #syntax
A Requirements Engineering Methodology Based On Natural Language Syntax and Semantics (MGG, ASA, CSP), pp. 473–474.
RERE-2005-Jean-BaptisteSF #requirements
Sharing Methodological Knowledge with REGAL: “Requirements Engineering Guide for All” (LHJB, CS, GF), pp. 461–462.
SACSAC-2005-ByunS #communication #development #protocol
A pattern-based development methodology for communication protocols (YB, BAS), pp. 1524–1528.
ICLPICLP-2005-Bortolussi #concurrent #optimisation
Concurrent Methodologies for Global Optimization (LB), pp. 441–443.
ICTSSTestCom-2005-BerradaCF #communication #testing
Testing Communicating Systems: a Model, a Methodology, and a Tool (IB, RC, PF), pp. 111–128.
DACDAC-2004-BrandoleseFS #design #estimation
An area estimation methodology for FPGA based designs at systemc-level (CB, WF, FS), pp. 129–132.
DACDAC-2004-CapodieciGKSY #design #towards
Toward a methodology for manufacturability-driven design rule exploration (LC, PG, ABK, DS, JY), pp. 311–316.
DACDAC-2004-EdmanS #design
Timing closure through a globally synchronous, timing partitioned design methodology (AE, CS), pp. 71–74.
DACDAC-2004-GuptaH #towards
Toward a systematic-variation aware timing methodology (PG, FLH), pp. 321–326.
DACDAC-2004-OhbaT #design #embedded #using
An SoC design methodology using FPGAs and embedded microprocessors (NO, KT), pp. 747–752.
DACDAC-2004-RajVW #process
A methodology to improve timing yield in the presence of process variations (SR, SBKV, JMW), pp. 448–453.
DACDAC-2004-WuC #analysis #design #network #performance
Efficient power/ground network analysis for power integrity-driven design methodology (SWW, YWC), pp. 177–180.
DACDAC-2004-ZhaoBD #analysis #scalability
A scalable soft spot analysis methodology for compound noise effects in nano-meter circuits (CZ, XB, SD), pp. 894–899.
DATEDATE-DF-2004-AitkenM #dependence #design
From Working Design Flow to Working Chips: Dependencies and Impacts of Methodology Decisions (RCA, FM), p. 2.
DATEDATE-DF-2004-BannowH #design #evaluation #hardware #object-oriented
Evaluation of an Object-Oriented Hardware Design Methodology for Automotive Applications (NB, KH), pp. 268–273.
DATEDATE-DF-2004-BruschiB #communication #design #synthesis
A Design Methodology for the Exploitation of High Level Communication Synthesis (FB, MB), pp. 180–185.
DATEDATE-DF-2004-ElfadelDKRS
A CAD Methodology and Tool for the Characterization of Wide On-Chip Buses (IME, AD, GVK, BR, HS), pp. 144–149.
DATEDATE-DF-2004-NiranjanW #design
Islands of Synchronicity, a Design Methodology for SoC Design (APN, PCW), pp. 64–69.
DATEDATE-DF-2004-RenWBLLD #design
A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications (BR, AW, JB, KL, WL, WWMD), pp. 280–285.
DATEDATE-v1-2004-AtienzaMCMS #design #memory management #multi #network
Dynamic Memory Management Design Methodology for Reduced Memory Footprint in Multimedia and Wireless Network Applications (DA, SM, FC, JMM, DS), pp. 532–537.
DATEDATE-v1-2004-BernardinisS #design
A Methodology for System-Level Analog Design Space Exploration (FDB, ALSV), pp. 676–677.
DATEDATE-v1-2004-DebJO #design #using
System Design for DSP Applications Using the MASIC Methodology (AKD, AJ, ), pp. 630–635.
DATEDATE-v1-2004-HounsellT #embedded #synthesis
Co-Processor Synthesis: A New Methodology for Embedded Software Acceleration (BIH, RT), pp. 682–683.
DATEDATE-v1-2004-MurgaiRMHT #analysis #modelling
Sensitivity-Based Modeling and Methodology for Full-Chip Substrate Noise Analysis (RM, SMR, TM, TH, MBT), pp. 610–615.
DATEDATE-v1-2004-PostVRS #verification
A SystemC-Based Verification Methodology for Complex Wireless Software IP (GP, PKV, TR, DRS), pp. 544–551.
DATEDATE-v1-2004-QuinnLBA #configuration management #framework #network
A System Level Exploration Platform and Methodology for Network Applications Based on Configurable Processors (DQ, BL, GB, EMA), pp. 364–371.
DATEDATE-v1-2004-TiriV #design #implementation #logic
A Logic Level Design Methodology for a Secure DPA Resistant ASIC or FPGA Implementation (KT, IV), pp. 246–251.
DATEDATE-v1-2004-VianaBRAA #design #memory management #modelling #simulation
Modeling and Simulating Memory Hierarchies in a Platform-Based Design Methodology (PV, EB, SR, RA, GA), pp. 734–735.
DATEDATE-v2-2004-ChandraXSP #design #performance
An Interconnect Channel Design Methodology for High Performance Integrated Circuits (VC, AX, HS, LTP), pp. 1138–1143.
DATEDATE-v2-2004-ElviraMAG #generative #megamodelling #performance #simulation
A Macromodelling Methodology for Efficient High-Level Simulation of Substrate Noise Generation (LE, FM, XA, JLG), pp. 1362–1363.
DATEDATE-v2-2004-HohenauerSKWKLAMBS #c #compilation #generative #modelling
A Methodology and Tool Suite for C Compiler Generation from ADL Processor Models (MH, HS, KK, OW, TK, RL, GA, HM, GB, HvS), pp. 1276–1283.
DATEDATE-v2-2004-Krupnova #experience #industrial #multi
Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience (HK), pp. 1236–1243.
DATEDATE-v2-2004-MeiVVL #architecture #case study #configuration management #design #matrix
Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study (BM, SV, DV, RL), pp. 1224–1229.
DATEDATE-v2-2004-WieferinkKLAMBN #communication #framework #multi
A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform (AW, TK, RL, GA, HM, GB, AN), pp. 1256–1263.
DATEDATE-v2-2004-YooYBJD #concept #design #multi #using
Multi-Processor SoC Design Methodology Using a Concept of Two-Layer Hardware-Dependent Software (SY, MWY, AB, AAJ, MDN), pp. 1382–1383.
DATEDATE-2005-BorgattiCRLMFP04 #configuration management #design #multi #verification
An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems (MB, AC, UR, JLL, IM, FF, GP), pp. 266–271.
DATEDATE-2005-GalanisMTSG04 #clustering #configuration management #hybrid
A Partitioning Methodology for Accelerating Applications in Hybrid Reconfigurable Platforms (MDG, AM, GT, DS, CEG), pp. 247–252.
DATEDATE-2005-HabibiAMT04 #design #interface #on the #verification
On the Design and Verification Methodology of the Look-Aside Interface (AH, AIA, OAM, ST), pp. 290–295.
DATEDATE-2005-MacBethHG04a #assembly #verification
An Assembler Driven Verification Methodology (ADVM) (JSM, DH, KG), pp. 278–283.
DATEDATE-2005-UmezawaS04 #verification
A Formal Verification Methodology for Checking Data Integrity (YU, TS), pp. 284–289.
FASEFASE-2004-ChoiCK #component #framework
A Systematic Methodology for Developing Component Frameworks (SWC, SHC, SDK), pp. 359–373.
CAiSECAiSE-2004-KaldeichS #approach #process
Data Warehouse Methodology: A Process Driven Approach (CK, JOeS), pp. 536–549.
ICEISICEIS-v3-2004-CaceresMC #agile #development #framework #information management #modelling #web
Integrating Agile and Model-Driven Practices in a Methodological Framework for the Web Information Systems Development (PC, EM, VdC), pp. 523–526.
ICEISICEIS-v3-2004-CernuzziZ
Experiencing AUML in the GAIA Methodology (LC, FZ), pp. 283–288.
ICEISICEIS-v3-2004-CostagliolaDFG #modelling #visual notation
A User-Centered Methodology to Generate Visual Modeling Environments (GC, VD, FF, CG), pp. 147–154.
ICEISICEIS-v3-2004-KaletasAH
A Methodology for Integrating New Scientific Domains and Applications in a Virtual Laboratory Environment (ECK, HA, LOH), pp. 265–272.
ICEISICEIS-v3-2004-NietoSF #modelling #ontology
Modelling Ontological Agents with GAIA Methodology (MAMN, JAS, MdLF), pp. 556–560.
ICEISICEIS-v3-2004-PanedaMGGN #analysis #monitoring #predict #video
Analysis and Configuration Methodology for Video on Demand Services Based on Monitoring Information and Prediction (XGP, DM, RG, VGG, ÁN), pp. 289–294.
ICEISICEIS-v4-2004-Ezziane #design
Object-Process Methodology Applied to Agent Design (ZE), pp. 455–462.
ICEISICEIS-v4-2004-SutandiyoCLK #mobile #named
MGAIA: Extending the GAIA Methodology to Model Mobile Agent Systems (WS, MBC, SWL, SK), pp. 515–518.
ICEISICEIS-v4-2004-TranHD
Incorporating the Elements of the Mase Methodology into Agent Open (QNNT, BHS, JKD), pp. 380–388.
ICEISICEIS-v5-2004-Zajicek #design #interface
A Methodology for Interface Design for Older Adults (MZ), pp. 81–88.
SEKESEKE-2004-CollierOR #re-engineering #uml
A UML-based Software Engineering Methodology for Agent Factory (RWC, GMPO, CR), pp. 25–30.
SEKESEKE-2004-LeeR #case study #re-engineering #research #validation
Case Study Methodology Designed Research in Software Engineering Methodology Validation (SWL, DCR), pp. 117–122.
SEKESEKE-2004-PennaILO #development
A Methodology for Scenario Development (GDP, BI, ARL, SO), pp. 7–12.
SACSAC-J-2003-FenicleW04
A secure methodology for interchangeable services (BF, TW), pp. 343–349.
SACSAC-2004-PanisHLLN #design #embedded #named
DSPxPlore: design space exploration methodology for an embedded DSP core (CP, UH, GL, WL, JN), pp. 876–883.
SACSAC-2004-PinhoT #image #physics #using
Morphing of image represented objects using a physical methodology (RRP, JMRST), pp. 10–15.
ICSEICSE-2004-BlackS #named #tool support
Traits: Tools and Methodology (APB, NS), pp. 676–686.
ICSEICSE-2004-PrietoA #formal method #multi #named #requirements #specification
chi-SCTL/MUS: A Formal Methodology to Evolve Multi-Perspective Software Requirements Specifications (ABBM, JJPA), pp. 72–74.
SPLCSPLC-2004-FantechiGLN #case study #product line #verification
A Methodology for the Derivation and Verification of Use Cases for Product Lines (AF, SG, GL, EN), pp. 255–265.
DACDAC-2003-BashirullahLC #adaptation #design #power management
Low-power design methodology for an on-chip bus with adaptive bandwidth capability (RB, WL, RKCI), pp. 628–633.
DACDAC-2003-ChanKLNR #performance #physics #synthesis
Physical synthesis methodology for high performance microprocessors (YHC, PK, LBL, GAN, TER), pp. 696–701.
DACDAC-2003-ChenRRD #programmable #scalability #self
A scalable software-based self-test methodology for programmable processors (LC, SR, AR, SD), pp. 548–553.
DACDAC-2003-GorenZGWBALSTGPJSSDH #design #modelling
On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices (DG, MZ, RG, IAW, AB, AA, BL, AS, YT, RAG, JP, DLJ, SES, RS, CED, DLH), pp. 724–727.
DACDAC-2003-GuptaKSY #off the shelf #tool support
A cost-driven lithographic correction methodology based on off-the-shelf sizing tools (PG, ABK, DS, JY), pp. 16–21.
DACDAC-2003-ShiG #hybrid #performance #power management
Hybrid hierarchical timing closure methodology for a high performance and low power DSP (KS, GG), pp. 850–855.
DATEDATE-2003-AlbiolGA #design #performance
Improved Design Methodology for High-Speed High-Accuracy Current Steering D/A Converters (MA, JLG, EA), pp. 10636–10641.
DATEDATE-2003-CaldariCCCOPT #analysis
System-Level Power Analysis Methodology Applied to the AMBA AHB Bus (MC, MC, MC, PC, SO, LP, CT), pp. 20032–20039.
DATEDATE-2003-DebOJ #analysis #embedded #simulation #using
Simulation and Analysis of Embedded DSP Systems Using MASIC Methodology (AKD, , AJ), pp. 11100–11101.
DATEDATE-2003-McCorquodaleGKMSB #challenge #design #top-down
A Top-Down Microsystems Design Methodology and Associated Challenges (MSM, FHG, KLK, EDM, RMS, RBB), pp. 20292–20296.
DATEDATE-2003-RemondB #design #set
Set Top Box SoC Design Methodology at STMicroelectronics (FR, PB), pp. 20220–20223.
DATEDATE-2003-SchmitzAE #co-evolution #design #embedded #energy #execution #multi
A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities (MTS, BMAH, PE), pp. 10960–10965.
CSEETCSEET-2003-HazzanD #development #education #programming
Teaching a Software Development Methodology: The Case of Extreme Programming (OH, YD), pp. 176–184.
CSMRCSMR-2003-RaoS #empirical #maintenance #outsourcing #using
Effort Drivers in Maintenance Outsourcing — An Experiment Using Taguchi’s Methodology (BSR, NLS), pp. 271–270.
CAiSECAiSE-2003-AntonellisMPP #strict
A Methodology for e -Service Substitutability in a Virtual District Environment (VDA, MM, BP, PP), pp. 552–567.
CAiSECAiSE-2003-ChiuCL #adaptation #collaboration
A Three-Tier View-Based Methodology for Adapting Human-Agent Collaboration Systems (DKWC, SCC, HfL), pp. 226–241.
CAiSECAiSE-2003-NikolaidouA #design #information management
Exploring Web-Based Information System Design: A Discrete-Stage Methodology and the Corresponding Model (MN, DA), pp. 159–174.
ICEISICEIS-v1-2003-BarriosM #framework #modelling
A Methodological Framework for Business Modelling (JB, JAM), pp. 11–18.
ICEISICEIS-v1-2003-HeuvelT #concept #design #enterprise #legacy #modelling
A Methodology for Designing Federated Enterprise Models with Conceptualized Legacy Wrappers (WJvdH, PT), pp. 353–358.
ICEISICEIS-v3-2003-IqbalJG #integration
A Methodology for the Integration of CSCW Applications (RI, AEJ, RAG), pp. 599–602.
ICEISICEIS-v3-2003-Wegmann #architecture #enterprise #on the
On the Systemic Enterprise Architecture Methodology (Seam) (AW), pp. 483–490.
CIKMCIKM-2003-SubramaniamMKSBKK #evaluation #information management
Information extraction from biomedical literature: methodology, evaluation and an application (LVS, SM, PK, BS, VSB, PVK, RK), pp. 410–417.
SEKESEKE-2003-KendalAC #bibliography #development #hybrid #information management
A Brief Overview of HyM: A Methodology for the Development of Hybrid Intelligent Information Systems (SLK, KA, XC), pp. 322–326.
SEKESEKE-2003-PeriniS #design #using
Dealing with software design issues using an Agent-Oriented methodology (AP, AS), pp. 224–231.
SACSAC-2003-FenicleW
A Methodology to Provide and Use Interchangeable Services (BF, TW), pp. 1140–1146.
SACSAC-2003-SalveminiSSSZZ #architecture #embedded #energy #performance #trade-off
A Methodology for the Efficient Architectural Exploration of Energy-Delay Trade-offs for Embedded Systems (LS, MS, DS, CS, VZ, RZ), pp. 672–678.
SACSAC-2003-SelfD #design #multi #specification
Designing and Specifying Mobility within the Multiagent Systems Engineering Methodology (ALS, SAD), pp. 50–55.
HPCAHPCA-2003-HoP #communication #design #performance
A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns (WHH, TMP), pp. 377–388.
HPCAHPCA-2003-YiLH #approach #simulation #statistics
A Statistically Rigorous Approach for Improving Simulation Methodology (JJY, DJL, DMH), pp. 281–291.
ASEASE-2002-MartinezESP #approach #prototype #requirements #user interface
From Early Requirements to User Interface Prototyping: A Methodological Approach (AM, HE, JS, OP), pp. 257–260.
DACDAC-2002-KapurCS #estimation #novel #optimisation #reduction #using
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology (PK, GC, KS), pp. 461–466.
DACDAC-2002-KrauterW #analysis
Variable frequency crosstalk noise analysis: : a methodology to guarantee functionality from dc to fmax (BK, DW), pp. 665–668.
DACDAC-2002-LeeKK #named #verification
VeriCDF: a new verification methodology for charged device failures (JL, KWK, SMK), pp. 874–879.
DACDAC-2002-RaviRPS #design #framework #security
System design methodologies for a wireless security processing platform (SR, AR, NRP, MS), pp. 777–782.
DACDAC-2002-SemeriaMPESN #concurrent #design #multi #thread #verification
RTL c-based methodology for designing and verifying a multi-threaded processor (LS, RM, BMP, AE, AS, DN), pp. 123–128.
DATEDATE-2002-BeroulleBLN #on the
On the Use of an Oscillation-Based Test Methodology for CMOS Micro-Electro-Mechanical Systems (VB, YB, LL, PN), p. 1120.
DATEDATE-2002-BonaSSZSZ #embedded #estimation #optimisation
An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores (AB, MS, DS, VZ, CS, RZ), p. 1128.
DATEDATE-2002-BuchenriederPS #concept #design
A Powerful System Design Methodology Combining OCAPI and Handel-C for Concept Engineering (KB, AP, AS), pp. 870–874.
DATEDATE-2002-CaiGKO #design #top-down #using
Top-Down System Level Design Methodology Using SpecC, VCC and SystemC (LC, DG, PK, MO), p. 1137.
DATEDATE-2002-GericotaASF #concurrent #configuration management #novel
A Novel Methodology for the Concurrent Test of Partial and Dynamically Reconfigurable SRAM-Based FPGAs (MGG, GRA, MLS, JMF), p. 1126.
DATEDATE-2002-GinesPRSM #behaviour #design #modelling #parametricity #reuse
A Mixed-Signal Design Reuse Methodology Based on Parametric Behavioural Models with Non-Ideal Effects (AJG, EJP, AR, RS, NMM), pp. 310–314.
DATEDATE-2002-GorenZGGLASW #approach #design
An Interconnect-Aware Methodology for Analog and Mixed Signal Design, Based on High Bandwidth (Over 40 Ghz) On-Chip Transmission Line Approach (DG, MZ, TCG, RG, BL, AA, AS, IAW), pp. 804–811.
DATEDATE-2002-Jong #design #embedded #realtime #uml
A UML-Based Design Methodology for Real-Time and Embedded Sytems (GGdJ), pp. 776–779.
DATEDATE-2002-KranitisPGZ #effectiveness #self
Effective Software Self-Test Methodology for Processor Cores (NK, AMP, DG, YZ), pp. 592–597.
DATEDATE-2002-LiverisZSG #performance #program transformation
A Code Transformation-Based Methodology for Improving I-Cache Performance of DSP Applications (NDL, NDZ, DS, CEG), pp. 977–983.
DATEDATE-2002-VedulaA #analysis #functional #generative #named #testing
FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis (VMV, JAA), pp. 730–734.
WCREWCRE-2002-TahvildariK #graph #maintenance #using
A Methodology for Developing Transformations Using the Maintainability Soft-Goal Graph (LT, KK), p. 77–?.
CSCWCSCW-2002-BakerGG #development #empirical #evaluation #heuristic
Empirical development of a heuristic evaluation methodology for shared workspace groupware (KB, SG, CG), pp. 96–105.
CAiSECAiSE-2002-HuismanI #deployment #development
The Individual Deployment of Systems Development Methodologies (MH, JI), pp. 134–150.
ICEISICEIS-2002-Rodriguez-Luna #process #word
Stemming Process in Spanish Words with the Successor Variety Method. Methodology and Result (MRL), pp. 838–842.
ICPRICPR-v1-2002-JiangM #algorithm #detection #evaluation
Supervised Evaluation Methodology for Curvilinear Structure Detection Algorithms (XJ, DM), pp. 103–106.
ICPRICPR-v1-2002-MarinoPPBnCG #sequence
Methodology for the Registration of Whole SLO Sequences (CM, MP, MGP, JMB, VL, MJC, FGU), pp. 779–783.
KRKR-2002-CalmesDHPS #approach #flexibility #fuzzy #query
A Fuzzy Approach to Flexible Case-based Querying: Methodology and Experimentation (MdC, DD, EH, HP, FS), pp. 449–458.
LSOLSO-2002-AngkasaputraPRT #collaboration #implementation #learning
The Collaborative Learning Methodology CORONET-Train: Implementation and Guidance (NA, DP, ER, ST), pp. 13–24.
LSOLSO-2002-Henninger #tool support
Tool Support for Experience-Based Methodologies (SH), pp. 44–59.
SEKESEKE-2002-PeriniSG #architecture #coordination #multi #requirements #specification
Coordination specification in multi-agent systems: from requirements to architecture with the Tropos methodology (AP, AS, FG), pp. 51–54.
RERE-2002-Garcia-DuquePB #requirements #specification #using
An Analysis-Revision Cycle to Evolve Requirements Specifications by Using the SCTL-MUS Methodology (JGD, JJPA, ABBM), pp. 282–288.
SACSAC-2002-ArdagnaF #architecture #design #web
A cost-oriented methodology for the design of web based IT architectures (DA, CF), pp. 1127–1133.
ICSEICSE-2002-MaysL #approach #realtime #reliability #robust
The cyclefree methodology: a simple approach to building reliable, robust, real-time systems (DM, RJL), pp. 567–575.
DACDAC-2001-AlpertHSV #resource management
A Practical Methodology for Early Buffer and Wire Resource Allocation (CJA, JH, SSS, PV), pp. 189–194.
DACDAC-2001-BanerjeeM #analysis #distributed #novel #optimisation #performance #using
Analysis of On-Chip Inductance Effects using a Novel Performance Optimization Methodology for Distributed RLC Interconnects (KB, AM), pp. 798–803.
DACDAC-2001-ChinneryNK
Achieving 550Mhz in an ASIC Methodology (DGC, BN, KK), pp. 420–425.
DACDAC-2001-JaniszewskiHM #design #performance #reuse
VHDL-Based Design and Design Methodology for Reusable High Performance Direct Digital Frequency Synthesizers (IJ, BH, HM), pp. 573–578.
DACDAC-2001-KohnoM #behaviour #pipes and filters #verification
A New Verification Methodology for Complex Pipeline Behavior (KK, NM), pp. 816–821.
DACDAC-2001-RiegerMP #design #layout
Layout Design Methodologies for Sub-Wavelength Manufacturing (MLR, JPM, SP), pp. 85–88.
DACDAC-2001-WangRLJ #adaptation #design #energy #optimisation #performance
Input Space Adaptive Design: A High-level Methodology for Energy and Performance Optimization (WW, AR, GL, NKJ), pp. 738–743.
DATEDATE-2001-CharestRAB #open source
A methodology for interfacing open source systemC with a third party software (LC, MR, EMA, GB), p. 16.
DATEDATE-2001-JerrayaM #design
Electronic system design methodology: Europe’s positioning (AAJ, GM), pp. 720–721.
DATEDATE-2001-SilvaSAGLSTSRNSW #design #network
Design methodology for PicoRadio networks (JLdSJ, JS, MJA, CG, SFL, RCS, TT, MS, JMR, BN, ALSV, PKW), pp. 314–325.
DATEDATE-2001-WongMYCMPCLV #concurrent #summary
Task concurrency management methodology summary (CW, PM, PY, FC, HDM, ASP, NC, RL, DV), p. 813.
CSMRCSMR-2001-Pighin #component #maintenance #reuse
A New Methodology for Component Reuse and Maintenance (MP), pp. 196–199.
IWPCIWPC-2001-ZayourL #reverse engineering #tool support
Adoption of Reverse Engineering Tools: A Cognitive Perspective and Methodology (IZ, TCL), pp. 245–255.
CAiSECAiSE-2001-CastroKM #development
A Requirements-Driven Development Methodology (JC, MK, JM), pp. 108–123.
CAiSECAiSE-2001-DiazPF #approach #requirements #user interface
From User Requirements to User Interfaces: A Methodological Approach (JSD, OP, JJF), pp. 60–75.
CAiSECAiSE-2001-IivariH #deployment #development
The Relationship Between Organisational Culture and the Deployment of Systems Development Methodologies (JI, MH), pp. 234–250.
ICEISICEIS-v1-2001-CaveroPM #multi #named
MIDEA: A Multidimensional Data Warehouse Methodology (JMC, MP, EM), pp. 138–144.
ICEISICEIS-v1-2001-DiazTO #machine learning #using
A Knowledge-Acquisition Methodology for a Blast Furnace Expert System Using Machine Learning Techniques (ED, JT, FO), pp. 336–339.
ICEISICEIS-v1-2001-EstevesP #enterprise #implementation #process
Establishing the Importance of ERP Implementation — Critical Success Factors along ASAP Methodology Processes (JE, JAP), pp. 182–187.
ICEISICEIS-v2-2001-CernuzziG #design #framework
A Framework for Evaluating WIS Design Methodologies (LC, MG), pp. 699–708.
LSOLSO-2001-PfahlADR #collaboration #learning #named
CORONET-Train: A Methodology for Web-Based Collaborative Learning in Software Organisations (DP, NA, CD, GR), pp. 37–51.
SEKESEKE-2001-LemosP #information management #interactive #modelling #petri net #using
Methodology for Modeling Interactions in Cooperative Information Systems Using Colored Petri Nets (AJPL, AP), pp. 299–306.
ECOOPECOOP-2001-Cockburn #people
People and the Limits of Methodology (AC), p. 302.
TOOLSTOOLS-USA-2001-Dustin #automation #lifecycle #testing
Automating Software Testing: A Life-Cycle Methodology (ED), p. 366.
TOOLSTOOLS-USA-2001-PatelKP #intranet #migration
A Methodology for Migrating a Client/Server Application to an Intranet (SP, JK, DP), pp. 421–429.
GPCEGCSE-2001-Lopez-HerrejonB #problem #product line #standard
A Standard Problem for Evaluating Product-Line Methodologies (RELH, DSB), pp. 10–24.
GPCESAIG-2001-Wakeling #design #functional #source code
A Design Methodology for Functional Programs (DW), pp. 146–162.
FSEESEC-FSE-2001-EngelsKHG #behaviour #consistency #modelling #object-oriented #specification
A methodology for specifying and analyzing consistency of object-oriented behavioral models (GE, JMK, RH, LG), pp. 186–195.
FSEESEC-FSE-2001-GrunbacherB #named #requirements
EasyWinWin: a groupware-supported methodology for requirements negotiation (PG, BWB), pp. 320–321.
FSEESEC-FSE-2001-ShullCT #empirical #process
An empirical methodology for introducing software processes (FS, JC, GT), pp. 288–296.
IJCARIJCAR-2001-Patel-SchneiderS #generative #random
A New System and Methodology for Generating Random Modal Formulae (PFPS, RS), pp. 464–468.
DACDAC-2000-AdlerBHB #verification
A current driven routing and verification methodology for analog applications (TA, HB, LH, EB), pp. 385–389.
DACDAC-2000-BaiDR #self
Self-test methodology for at-speed test of crosstalk in chip interconnects (XB, SD, JR), pp. 619–624.
DACDAC-2000-ChenDSSC #embedded #hardware #self
Embedded hardware and software self-testing methodologies for processor cores (LC, SD, PS, KS, YC), pp. 625–630.
DACDAC-2000-EisnerSHNNV #design #hardware #protocol
A methodology for formal design of hardware control with application to cache coherence protocols (CE, IS, RH, WGN, KLN, KV), pp. 724–729.
DACDAC-2000-FinF #analysis #simulation
A Web-CAD methodology for IP-core analysis and simulation (AF, FF), pp. 597–600.
DACDAC-2000-GaurdianiSMSC #bound #component #constant #simulation #statistics
An asymptotically constant, linearly bounded methodology for the statistical simulation of analog circuits including component mismatch effects (CG, SS, PM, PS, DC), pp. 15–18.
DACDAC-2000-LahiriRLD #architecture #communication #design
Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips (KL, AR, GL, SD), pp. 513–518.
DACDAC-2000-MehrotraSBCVN #modelling #performance
A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance (VM, SLS, DSB, AC, RV, SRN), pp. 172–175.
DACDAC-2000-NemaniT #design
Macro-driven circuit design methodology for high-performance datapaths (MN, VT), pp. 661–666.
DACDAC-2000-PoslusznyABCDFHKKLMNPPSTV #design
“Timing closure by design”, a high frequency microprocessor design methodology (SDP, NA, DB, PKC, SHD, BKF, HPH, NK, OK, KL, DM, KJN, JP, JP, JS, OT, PV), pp. 712–717.
DACDAC-2000-QuKUP #estimation
Function-level power estimation methodology for microprocessors (GQ, NK, KU, MP), pp. 810–813.
DACDAC-2000-VandersteenWRDDEB #data flow #performance #simulation
A methodology for efficient high-level dataflow simulation of mixed-signal front-ends of digital telecom transceivers (GV, PW, YR, PD, SD, ME, IB), pp. 440–445.
DACDAC-2000-YenY #design #multi #verification
Multiprocessing design verification methodology for Motorola MPC74XX PowerPC microprocessor (JTY, QRY), pp. 718–723.
DATEDATE-2000-PeraliasARH #design #pipes and filters #verification
A Vhdl-Based Methodology for Design and Verification of Pipeline A/D Converters (EJP, AJA, AR, JLH), pp. 534–538.
DATEDATE-2000-Rosing #fault #simulation
A Fault Simulation Methodology for MEMS (RR), pp. 476–483.
DATEDATE-2000-VermeulenCMV #embedded #reuse
Formalized Three-Layer System-Level Reuse Model and Methodology for Embedded Data-Dominated Applications (FV, FC, HDM, DV), pp. 92–98.
IFMIFM-2000-Dingel #development #distributed #parallel #source code #towards
Towards a Unified Development Methodology for Shared-Variable Parallel and Distributed Programs (JD), pp. 214–234.
EDOCEDOC-2000-FariasPS #component #development
A Component-Based Groupware Development Methodology (CRGdF, LFP, MvS), p. 204–?.
EDOCEDOC-2000-MecellaB #framework #information management #legacy
Cooperation of Heterogeneous Legacy Information Systems: A Methodological Framework (MM, CB), pp. 216–225.
ICEISICEIS-2000-SarmentoM #workflow
The Adoption of Workflow Systems: Proposal of a Model for a Methodology to Analysis-The Impact of Workflow Systems in Organisations (AS, AM), pp. 349–354.
ICPRICPR-v2-2000-KimKNS #classification #recognition #word
A Methodology of Combining HMM and MLP Classifiers for Cursive Word Recognition (JHK, KKK, CPN, CYS), pp. 2319–2322.
ICPRICPR-v2-2000-KimPL #design #network
A New Methodology to the Design of Associative Memories Based on Cellular Neural Networks (HYK, JP, SWL), pp. 2965–2968.
ICPRICPR-v4-2000-BarataPG #image
Segmenting at Higher Scales to Classify at Lower Scales. A Mathematical Morphology Based Methodology Applied to Forest Cover Remote Sensing Images (TB, PP, IG), pp. 4084–4087.
ICPRICPR-v4-2000-LiangCPH
A Methodology for Special Symbol Recognitions (JL, VC, ITP, RMH), pp. 4011–4014.
UMLUML-2000-ChabrolS #modelling #object-oriented #uml
Object Oriented Methodology based on UML for Urban Traffic System Modeling (MC, DS), pp. 425–439.
UMLUML-2000-HennickerK #design #hypermedia #uml
A UML-based Methodology for Hypermedia Design (RH, NK), pp. 410–424.
DACDAC-1999-Bening #logic #simulation
A Two-State Methodology for RTL Logic Simulation (LB), pp. 672–677.
DACDAC-1999-CaldwellKKM #clustering #development #heuristic
Hypergraph Partitioning for VLSI CAD: Methodology for Heuristic Development, Experimentation and Reporting (AEC, ABK, AAK, ILM), pp. 349–354.
DACDAC-1999-Gajski #design
IP-based Design Methodology (DG), p. 43.
DACDAC-1999-GeistBASNFHLKB #verification
A Methodology for the Verification of a “System on Chip” (DG, GB, TA, MS, YN, MF, KH, AL, DK, SB), pp. 574–579.
DACDAC-1999-HadjiyiannisRD #architecture #evaluation #performance
A Methodology for Accurate Performance Evaluation in Architecture Exploration (GH, PR, SD), pp. 927–932.
DACDAC-1999-KapadiaH #automation #clustering #convergence #design #standard #using
Using Partitioning to Help Convergence in the Standard-Cell Design Automation Methodology (HK, MH), pp. 592–597.
DACDAC-1999-KirovskiP #behaviour #synthesis
Engineering Change: Methodology and Applications to Behavioral and System Synthesis (DK, MP), pp. 604–609.
DACDAC-1999-MoussaSSDPCGJ #behaviour #design
Comparing RTL and Behavioral Design Methodologies in the Case of a 2M-Transistor ATM Shaper (IM, ZS, RS, MDN, MP, SC, LG, AAJ), pp. 598–603.
DACDAC-1999-WeiCRYD #design #power management
Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications (LW, ZC, KR, YY, VD), pp. 430–435.
DACDAC-1999-YimBK
A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs (JSY, SOB, CMK), pp. 766–771.
DATEDATE-1999-BarnaR #object-oriented #reuse
Object-Oriented Reuse Methodology for VHDL (CB, WR), p. 689–?.
DATEDATE-1999-CmarRSVB #design #fixpoint #refinement
A Methodology and Design Environment for DSP ASIC Fixed-Point Refinement (RC, LR, PS, SV, IB), p. 271–?.
DATEDATE-1999-FournierAL #functional #product line #using #verification
Functional Verification Methodology for Microprocessors Using the Genesys Test-Program Generator-Application to the x86 Microprocessors Family (LF, YA, ML), pp. 434–441.
DATEDATE-1999-Haase #design
Design Methodology for IP Providers (JH), pp. 728–732.
DATEDATE-1999-ScherberM #flexibility #modelling #performance #simulation
An Efficient and Flexible Methodology for Modelling and Simulation of Heterogeneous Mechatronic Systems (SS, CMS), pp. 784–785.
ICDARICDAR-1999-AntonacopoulosB #algorithm #analysis #flexibility #performance #segmentation
Methodology for Flexible and Efficient Analysis of the Performance of Page Segmentation Algorithms (AA, AB), pp. 451–454.
ITiCSEITiCSE-1999-PrestonS #assessment #online
Improving on-line assessment: an investigation of existing marking methodologies (JAP, RLS), pp. 29–32.
CSMRCSMR-1999-PoloPRC #maintenance #named #standard
MANTEMA: A Complete Rigorous Methodology for Supporting Maintenance Based On The ISO/IEC 12207 Standard (MP, MP, FR, CC), pp. 178–181.
ICSMEICSM-1999-CinneideN #automation #design pattern
A Methodology for the Automated Introduction of Design Patterns (MÓC, PN), p. 463–?.
FMFM-v2-1999-MarchandS #design #incremental #synthesis #using
Incremental Design of a Power Transformer Station Controller Using a Controller Synthesis Methodology (HM, MS), pp. 1605–1624.
HCIHCI-CCAD-1999-BrownS #development #education #learning #people
An illustrated methodology for the development of virtual learning environments for use by people in special needs education (DJB, DSS), pp. 1105–1110.
HCIHCI-CCAD-1999-Costabile #evaluation #usability
A methodology for usability evaluation (MFC), pp. 833–837.
HCIHCI-CCAD-1999-NisslerMH #3d #classification #design #how
How to get the right outfit for my agent? Classification — and design methodology for a virtual shopping assistant in a 3D world (JN, JM, AH), pp. 162–166.
HCIHCI-EI-1999-CoovertEFCR #metric
Measurement in Synthetic Task Environments for Teams: a Methodological Typology (MDC, LRE, LLF, JPC, DR), pp. 686–690.
HCIHCI-EI-1999-KinoeM #idea
Discovering Latent Relationships among Ideas: A Methodology for Facilitating New Idea Creation (YK, HM), pp. 1242–1246.
HCIHCI-EI-1999-MosconiP99a #testing #usability #visual notation
Testing the Usability of Visual Languages: A Web-Based Methodology (MM, MP), pp. 1053–1057.
HCIHCI-EI-1999-OliveiraB #communication #design #interface
Communicating Entities: a Semiotic-Based Methodology for Interface Design (OLDO, MCCB), pp. 1237–1241.
HCIHCI-EI-1999-WangFJL #design #lessons learnt #user interface
Design Methodology of Chinese User Interface Lessons from Windows 95/98 (JW, DF, ZJ, SL), pp. 813–817.
ICEISICEIS-1999-BarberGJS #evolution #requirements #reuse
Increasing Opportunities for Reuse Through Tool and Methodology Support for Enterprise-Wide Requirements Reuse and Evolution (KSB, TJG, SRJ, JS), pp. 383–390.
ICEISICEIS-1999-FaldellaP #3d #recognition
A General Methodology for Robotic Haptic Recognition of 3-D Objects (EF, MP), pp. 203–210.
ICEISICEIS-1999-JouanotCNY #information management #named
DILEMMA: A Mediation Methodology to Interoperate Information Systems (FJ, NC, CN, KY), pp. 634–641.
ICEISICEIS-1999-RuizPPC #maintenance
Maintenance Types in the MANTEMA Methodology (FR, MP, MP, CC), p. 773.
ICEISICEIS-1999-SecoCGMS #development #experience #information management
Guide to Develop an Euromethod Compatible Information System Methodology: A Practical Experience of its Development (AdAS, AdMC, JGG, JLM, LFS), p. 777.
KDDKDD-1999-BonchiGMP #classification #detection
A Classification-Based Methodology for Planning Audit Strategies in Fraud Detection (FB, FG, GM, DP), pp. 175–184.
TOOLSTOOLS-ASIA-1999-FanC #development #framework #web
A Framework and Methodology for Development of Content-Based Web Sites (XF, JC), pp. 316–319.
TOOLSTOOLS-ASIA-1999-JiangLX #generative
Agent Class Methodology: A New Kind of Autonomous Object Generation Methodology (HJ, DL, XX), pp. 245–252.
TOOLSTOOLS-EUROPE-1999-TraonDJ #component #self #testing
Self-Testable Components: From Pragmatic Tests to Design-for-Testability Methodology (YLT, DD, JMJ), pp. 96–107.
HPDCHPDC-1999-LeighJDBG #analysis #collaboration #set
A Methodology for Supporting Collaborative Exploratory Analysis of Massive Data Sets in Tele-Immersive Environments (JL, AEJ, TAD, SB, RLG), pp. 62–69.
HPDCHPDC-1999-WoodwardA #distributed #grid #programming
Portable Petaflop/s Programming: Applying Distributed Computing Methodology to the Grid within a Single Machine Room (PRW, SEA), pp. 70–83.
DACDAC-1998-CarroN #adaptation #algorithm #performance
Efficient Analog Test Methodology Based on Adaptive Algorithms (LC, MN), pp. 32–37.
DACDAC-1998-DunlopDFKLMR #design #tool support
Tools and Methodology for RF IC Design (AD, AD, PF, SK, DEL, RCM, JSR), pp. 414–420.
DACDAC-1998-GrinwaldHOUZ #design #tool support #verification
User Defined Coverage — A Tool Supported Methodology for Design Verification (RG, EH, MO, SU, AZ), pp. 158–163.
DACDAC-1998-GuerraPR #behaviour #optimisation
A Methodology for Guided Behavioral-Level Optimization (LMG, MP, JMR), pp. 309–314.
DACDAC-1998-HattoriNSNUTS #design
Design Methodology of a 200MHz Superscalar Microprocessor: SH-4 (TH, YN, MS, SN, KU, TT, RS), pp. 246–249.
DACDAC-1998-KahngMMPTWW #design #physics #robust
Robust IP Watermarking Methodologies for Physical Design (ABK, SM, ILM, MP, PT, HW, GW), pp. 782–787.
DACDAC-1998-LiK #layout #verification
Layout Extraction and Verification Methodology CMOS I/O Circuits (TL, SMK), pp. 291–296.
DACDAC-1998-OlukotunHO #simulation
Digital System Simulation: Methodologies and Examples (KO, MH, DO), pp. 658–663.
DACDAC-1998-OrshanskyCH #performance #simulation #statistics
A Statistical Performance Simulation Methodology for VLSI Circuits (MO, JCC, CH), pp. 402–407.
DACDAC-1998-RaelRA #design
Design Methodology Used in a Single-Chip CMOS 900 MHz Spread-Spectrum Wireless Transceiver (JR, AR, AAA), pp. 44–49.
DACDAC-1998-Shepard #design
Design Methodologies for Noise in Digital Integrated Circuits (KLS), pp. 94–99.
DACDAC-1998-UsamiIIKTHATK #design #power management #scalability
Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques (KU, MI, TI, MK, MT, MH, HA, TT, TK), pp. 483–488.
DATEDATE-1998-Catthoor #architecture #design #energy #performance
Energy-Delay Efficient Data Storage and Transfer Architectures: Circuit Technology versus Design Methodology Solutions (FC), pp. 709–714.
DATEDATE-1998-GerlachR #design #estimation #scalability
A Scalable Methodology for Cost Estimation in a Transformational High-Level Design Space Exploration Environment (JG, WR), pp. 226–231.
DATEDATE-1998-Martin #design
Design Methodologies for System Level IP (GM), pp. 286–289.
DATEDATE-1998-RabaeyW #configuration management #energy
An Energy-Conscious Exploration Methodology for Reconfigurable DSPs (JMR, MW), pp. 341–342.
DATEDATE-1998-RoyAB #clustering #named #power management
PowerShake: A Low Power Driven Clustering and Factoring Methodology for Boolean Expressions (SR, HA, PB), pp. 967–968.
CSEETCSEET-1998-Cowling98a #analysis #education #experience #re-engineering #student
Teaching Systems Analysis to Software Engineering Students: Experience with a Structured Methodology (AJC), pp. 102–113.
ICSMEICSM-1998-CherinkaOR #maintenance #off the shelf #programming #question #static analysis
Maintaining a COTS Integrated Solution — Are Traditional Static Analysis Techniques Sufficient for this New Programming Methodology? (RC, CMO, JR), pp. 160–169.
STOCSTOC-1998-CanettiGH #random
The Random Oracle Methodology, Revisited (Preliminary Version) (RC, OG, SH), pp. 209–218.
CHICHI-1998-BrownM #design
Device Design Methodology for Trauma Applications (DSB, SM), pp. 590–594.
EDOCEDOC-1998-OldevikB #distributed #uml
UML-based methodology for distributed systems (JO, AJB), pp. 2–13.
TOOLSTOOLS-PACIFIC-1998-BornH #design #distributed #object-oriented
An Object-Oriented Design Methodology for Distributed Services (MB, AH), pp. 52–64.
PPDPALP-PLILP-1998-ConselM #architecture #development #using
Architecture Software Using: A Methodology for Language Development (CC, RM), pp. 170–194.
LOPSTRLOPSTR-1998-BaldanCLP #declarative #semantics #towards
A Step Towards a Methodology for Mercury Program Construction: A Declarative Semantics for Mercury (DB, BLC, CL, IP), pp. 21–40.
ICSEICSE-1998-RothermelLDB #source code #testing #visual notation #what
What You See Is What You Test: A Methodology for Testing Form-Based Visual Programs (GR, LL, CD, MMB), pp. 198–207.
HPDCHPDC-1998-TierneyJCHBG #analysis #distributed #performance
The NetLogger Methodology for High Performance Distributed Systems Performance Analysis (BT, WEJ, BC, GH, CXB, DG), pp. 260–267.
CAVCAV-1998-HenzingerQR #case study
You Assume, We Guarantee: Methodology and Case Studies (TAH, SQ, SKR), pp. 440–451.
ICTSSIWTCS-1998-Gecse #consistency #hypermedia #internet #protocol #testing
Conformance Testing Methodology of Internet Protocols Internet Application-Layer Protocol Testing — the Hypertext Transfer Protocol (RG), pp. 35–48.
DACDAC-1997-BaltusVADM #concurrent #generative #library #standard
Developing a Concurrent Methodology for Standard-Cell Library Generation (DGB, TV, RCA, JD, TGM), pp. 333–336.
DACDAC-1997-ChenL #analysis #design #power management
Power Supply Noise Analysis Methodology for Deep-Submicron VLSI Chip Design (HHC, DDL), pp. 638–643.
DACDAC-1997-CongHKNSY #2d #analysis
Analysis and Justification of a Simple, Practical 2 1/2-D Capacitance Extraction Methodology (JC, LH, ABK, DN, NS, SHCY), pp. 627–632.
DACDAC-1997-Frenkil #design #power management #tool support
Tools and Methodologies for Low Power Design (JF), pp. 76–81.
DACDAC-1997-GuptaMA #formal method #simulation #towards #using #validation
Toward Formalizing a Validation Methodology Using Simulation Coverage (AG, SM, PA), pp. 740–745.
DACDAC-1997-PotkonjakKK #behaviour #case study #design
Methodology for Behavioral Synthesis-Based Algorithm-Level Design Space Exploration: DCT Case Study (MP, KK, RK), pp. 252–257.
DACDAC-1997-Schneider #parallel #trade-off
A Parallel/Serial Trade-Off Methodology for Look-Up Table Based Decoders (CS), pp. 498–503.
DACDAC-1997-SmailagicSMS #agile #case study #design #off the shelf #prototype #smarttech
Very Rapid Prototyping of Wearable Computers: A Case Study of Custom versus Off-the-Shelf Design Methodologies (AS, DPS, RM, JS), pp. 315–320.
DACDAC-1997-YimHPCYOPK #design #verification
A C-Based RTL Design Verification Methodology for Complex Microprocessor (JSY, YHH, CJP, HC, WSY, HSO, ICP, CMK), pp. 83–88.
DATEEDTC-1997-BenabesKK #design
A methodology for designing continuous-time sigma-delta modulators (PB, MK, RK), pp. 46–50.
DATEEDTC-1997-BhattacharyaDS #testing
An RTL methodology to enable low overhead combinational testing (SB, SD, BS), pp. 146–152.
DATEEDTC-1997-BolchiniSS #design #network #novel
A novel methodology for designing TSC networks based on the parity bit code (CB, FS, DS), pp. 440–444.
DATEEDTC-1997-NarayananSKLB #fault
A fault diagnosis methodology for the UltraSPARCTM-I microprocessor (SN, RS, RPK, MEL, SBN), pp. 494–500.
DATEEDTC-1997-OlbrichGARC #estimation #quality
A new quality estimation methodology for mixed-signal and analogue ICs (TO, IAG, YEA, AMDR, JC), pp. 573–580.
DATEEDTC-1997-Schneider #abstraction #architecture #hardware #trade-off
A methodology for hardware architecture trade-off at different levels of abstraction (CS), pp. 537–541.
DATEEDTC-1997-VriesZBR #self
Built-in self-test methodology for A/D converters (RdV, TZ, EMJGB, PPLR), pp. 353–358.
ICSMEICSM-2000-TortorellaV #component #named #process #reverse engineering
CREP — Characterizing Reverse Engineering Process Component Methodology (MT, GV), pp. 222–231.
CHICHI-1997-Tractinsky #empirical #usability
Aesthetics and Apparent Usability: Empirically Assessing Cultural and Methodological Issues (NT), pp. 115–122.
HCIHCI-CC-1997-BayehMSCCA #human-computer
Methodology for Studying Complex Ergonomic Interventions for HCI Work (ADB, FBPM, MJS, PC, FTC, PA), pp. 489–492.
HCIHCI-CC-1997-JungKLS #agile
Diagnostic Methodology for Agile Manufacturing System (HSJ, YK, BGL, NS), pp. 335–338.
HCIHCI-CC-1997-NewmanCS #metric
Methodological Issues of Measurement of Psychosocial Work Factors in Computer/Office Work (LN, PC, WS), pp. 509–512.
HCIHCI-SEC-1997-LowryHK #bias #evolution #heuristic #human-computer #interface #robust
Heuristics and Biases in the Evolution of a Robust Human-Machine Interface Methodology (JCL, PEVH, SZK), pp. 323–326.
HCIHCI-SEC-1997-SantoniFF #adaptation #design #interface
Aid Methodology for Designing Adaptive Human Computer Interfaces for Supervision Systems (CS, EF, PF), pp. 501–504.
CAiSECAiSE-1997-Ceri #idea
The Story of the IDEA Methodology (SC, PF), pp. 1–17.
CAiSECAiSE-1997-PonciaP #design #distributed #web
A Methodology for the Design of Distributed Web Systems (GP, BP), pp. 273–288.
EDOCEDOC-1997-HughesHLRSS #distributed #legacy #migration
A Methodology for Migration of Legacy Applications to Distributed Object Management (ERH, RSH, SDL, ASR, ALS, SLS), pp. 236–244.
TOOLSTOOLS-PACIFIC-1997-Henderson-Sellers #generative #named #object-oriented
OPEN: A Third Generation OO Methodology — Advanced Tasks and Techniques (BHS), pp. 363–365.
RERE-1997-Liu #industrial #named
SOFL: A Formal Engineering Methodology for Industrial Applications (SL), p. 41.
RERE-1997-RoscaFW #lifecycle
Decision Making Methodology in Support of the Business Rules Lifecycle (DR, MF, CW), pp. 236–246.
HPCAHPCA-1997-ChodnekarSVSD #communication #parallel #towards
Towards a Communication Characterization Methodology for Parallel Applications (SC, VS, ASV, AS, CRD), pp. 310–319.
HPCAHPCA-1997-PaiRA #parallel #performance #simulation
The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology (VSP, PR, SVA), pp. 72–83.
DACDAC-1996-CasaubieilhMBBPRBEMBB #functional #verification
Functional Verification Methodology of Chameleon Processor (FC, AM, MB, MB, FP, FR, MB, JE, GM, GB, CB), pp. 421–426.
DACDAC-1996-ChengCWM #estimation #hybrid
A New Hybrid Methodology for Power Estimation (DIC, KTC, DCW, MMS), pp. 439–444.
DACDAC-1996-CortadellaKKLY #encoding #synthesis #tool support
Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis (JC, MK, AK, LL, AY), pp. 63–66.
DACDAC-1996-EdamatsuIH #design #video
Design Methodologies for consumer-use video signal processing LSIs (HE, SI, KH), pp. 497–502.
DACDAC-1996-LevittO #pipes and filters #scalability #verification
A Scalable Formal Verification Methodology for Pipelined Microprocessors (JRL, KO), pp. 558–563.
DACDAC-1996-Lin #design #hardware #network
A System Design Methodology for Software/Hardware Co-Development of Telecommunication Network Applications (BL), pp. 672–677.
DACDAC-1996-LokanathanBR #concurrent #library #optimisation #process
A Methodology for Concurrent Fabrication Process/Cell Library Optimization (ANL, JBB, JER), pp. 825–830.
DACDAC-1996-MiyaharaOM #design
Design Methodology for Analog High Frequency ICs (YM, YO, SM), pp. 503–508.
DACDAC-1996-MonacoHR #functional #verification
Functional Verification Methodology for the PowerPC 604 Microprocessor (JM, DH, RR), pp. 319–324.
CSEETCSEE-1996-Gates #problem
Integrating a Problem-Solving Methodology and Group Skills into CS1 (AQG), pp. 6–15.
FMFME-1996-MartinsH #evaluation #performance #specification
A New System Engineering Methodology Coupling Formal Specification and Performance Evaluation (JJM, JPH), pp. 140–159.
ICPRICPR-1996-LasenbyBLS #invariant
A new methodology for computing invariants in computer vision (JL, EBC, ANL, GS), pp. 393–397.
SEKESEKE-1996-ChenKPS #development #hybrid #information management #process
The Development of Hybrid Information Systems: a Process Model an Methodology (XC, SK, IP, PS), pp. 51–58.
SEKESEKE-1996-HwangCWS #3d #performance #using #visualisation
A Fast 3-D Visualization Methodology Using Characteristic Views of Objects (SH, SYC, TW, PCYS), pp. 577–584.
ICSEICSE-1996-DeMarco #development #past present future
The Role of Software Development Methodologies: Past, Present, and Future (TD), pp. 2–4.
HPCAHPCA-1996-ParkA #concurrent #independence
A Topology-Independent Generic Methodology for Deadlock-Free Wormhole Routing (HP, DPA), pp. 191–200.
ICLPJICSLP-1996-Neumerkel96a #named #programming #prolog
GUPU: A Prolog Course Environment and its Programming Methodology (Poster Abstract) (UN), p. 549.
DACDAC-1995-Albrecht #concurrent #configuration management #design #simulation
Concurrent Design Methodology and Configuration Management of the SIEMENS EWSD — CCS7E Processor System Simulation (TWA), pp. 222–227.
DACDAC-1995-CaoABDDDDDDFGGGILMMMPPPRRSSSSVWYYZZ #design
CAD Methodology for the Design of UltraSPARC-I Microprocessor at Sun Microsystems Inc (AC, AA, JB, PD, PD, PD, MD, TD, MD, PF, OG, DG, SG, JI, LL, JM, MM, SM, PP, AP, RP, SR, NR, PS, SS, RS, BS, WV, MW, PY, RKY, JZ, GBZ), pp. 19–22.
DACDAC-1995-KnappLMM #behaviour #specification #synthesis #validation
Behavioral Synthesis Methodology for HDL-Based Specification and Validation (DK, TL, DM, RM), pp. 286–291.
DACDAC-1995-MalleyD #logic #verification
Logic Verification Methodology for PowerPC Microprocessors (CHM, MD), pp. 234–240.
DACDAC-1995-ManciniYB
A Methodology for HW-SW Codesign in ATM (GM, DY, SB), pp. 520–527.
DACDAC-1995-SmailagicSAKMS #benchmark #concurrent #design #metric
Benchmarking An Interdisciplinary Concurrent Design Methodology for Electronic/Mechanical Systems (AS, DPS, DA, CK, TLM, JS), pp. 514–519.
DACDAC-1995-YangGMJL #design
System Design Methodology of UltraSPARC-I (LY, DG, JM, RJ, PL), pp. 7–12.
ICDARICDAR-v1-1995-LeeL95a #recognition #segmentation
A new methodology for gray-scale character segmentation and recognition (DJL, SWL), pp. 524–527.
CSEETCSEE-1995-Geier #approach #bibliography #delphi
The Delphi Survey Methodology: An Approach to Determine Training Needs (JDG), pp. 389–402.
TACASTACAS-1995-LarsenSW #constraints #proving
A Constraint Oriented Proof Methodology Based on Modal Transition Systems (KGL, BS, CW), pp. 17–40.
AdaEuropeAdaEurope-1995-BattagliaS #named #re-engineering
ReverseNICE: A Re-Engineering Methodology and Supporting Tool (MB, GS), pp. 244–248.
KDDKDD-1995-DeogunRS #approximate #set
Exploiting Upper Approximation in the Rough Set Methodology (JSD, VVR, HS), pp. 69–74.
SEKESEKE-1995-Ferraris #information management #modelling #multi
Multi-Agent Models as Methodological Guide for Knowledge Acquisition (CF), pp. 31–38.
SEKESEKE-1995-OhNB #development #object-oriented
A Development Methodology for KB/DB Coupling based on the Object-Oriented EA Model (SYO, CN, DKB), pp. 109–113.
SIGIRSIGIR-1995-Finch #documentation #partial order #representation
Partial Orders for Document Representation: A New Methodology for Combining Document Features (SF), pp. 264–272.
OOPSLAOOPSLA-1995-MuletMC #composition #towards
Towards a Methodology for Explicit Composition of MetaObjects (PM, JM, PC), pp. 316–330.
LOPSTRLOPSTR-1995-LauO #deduction #logic programming #object-oriented #source code #synthesis #towards
Towards an Object-Oriented Methodology for Deductive Synthesis of Logic Programs (KKL, MO), pp. 152–169.
SACSAC-1995-Obaidat #verification
A verification methodology for computer systems users (MSO), pp. 258–262.
DACDAC-1994-BaldwinC #design #graph grammar #using
Design Methodology Management Using Graph Grammars (RAB, MJC), pp. 472–478.
DACDAC-1994-BeattyB #simulation #using #verification
Formally Verifying a Microprocessor Using a Simulation Methodology (DLB, REB), pp. 596–602.
DACDAC-1994-FangG #low cost #testing
Clock Grouping: A Low Cost DFT Methodology for Delay Testing (WCF, SKG), pp. 94–99.
DACDAC-1994-KannanSF #algorithm #optimisation
A Methodology and Algorithms for Post-Placement Delay Optimization (LNK, PS, HGF), pp. 327–332.
DACDAC-1994-KissionDJ #design
Structured Design Methodology for High-Level Design (PK, HD, AAJ), pp. 466–471.
DACDAC-1994-MonteiroDL #estimation #logic #performance #process
A Methodology for Efficient Estimation of Switching Activity in Sequential Logic Circuits (JCM, SD, BL), pp. 12–17.
DATEEDAC-1994-CamuratiCPBS #design #modelling #verification
System-Level Modeling and Verification: a Comprehensive Design Methodology (PC, FC, PP, CB, BS), pp. 636–640.
DATEEDAC-1994-DonnaySGSKL #automation #design
A Methodology for Analog Design Automation in Mixed-Signal ASICs (SD, KS, GGEG, WMCS, WK, DL), pp. 530–534.
DATEEDAC-1994-GajskiVN #refinement
A System-Design Methodology: Executable-Specification Refinement (DG, FV, SN), pp. 458–463.
DATEEDAC-1994-LinGB #generative #low cost #novel
A Low Cost BIST Methodology and Associated Novel Test Pattern Generator (SPL, SKG, MAB), pp. 106–112.
CSEETCSEE-1994-HartrumB #analysis #education #object-oriented
Teaching Formal Extensions of Informal-Based Object-Oriented Analysis Methodologies (TCH, PDB), pp. 389–409.
CHICHI-1994-GongK94a #development #validation
A validation of the GOMS model methodology in the development of a specialized, commercial software application (RG, DEK), pp. 351–357.
CHICHI-1994-McDanielOO94a #human-computer #object-oriented
Methods in search of methodology — combining HCI and object orientation (SEM, GMO, JSO), pp. 145–151.
AdaTRI-Ada-1994-GuptaG #named
Onion: A Methodology for Developing Data-Dominant Systems from Building Blocks (APG, PCG), pp. 361–372.
CAiSECAiSE-1994-Prakash #process
A Process View of Methodologies (NP), pp. 339–352.
KRKR-1994-Padghamd #research
Systems vs. Theory vs. ... : KR&R Research Methodologies (Abstract) (LP), p. 649.
SEKESEKE-1994-CairoGB #formal method #multi #representation
A formal methodology for acquiring and representing knowledge from multiple experts (OC, SG, TB), pp. 281–288.
OOPSLAOOPSLA-1994-MonarchiBHJMRW #question #standard
Methodology Standards: Help or Hindrance? (DEM, GB, BHS, IJ, SJM, JER, RWB), pp. 223–228.
REICRE-1994-GoldinB #abstraction #design #elicitation #evaluation #natural language #prototype #requirements
AbstFinder, a prototype abstraction finder for natural language text for use in requirements elicitation: design, methodology, and evaluation (LG, DMB), pp. 84–93.
SACSAC-1994-MortonTBS #2d #adaptation #finite #simulation
An adaptive finite element methodology for 2D simulation of two-phase flow through porous media (DJM, JMT, ATB, PAS), pp. 357–362.
ICSEICSE-1994-DeshmukhDLL #case study #experience #standard #testing
The Role of Testing Methodologies in Open Systems Standards: A POSIX Experience Report (GD, RD, JFL, KAL), pp. 233–240.
CCCC-1994-UhlH #analysis
Flow Grammars — a Flow Analysis Methodology (JSU, RNH), pp. 203–217.
CAVCAV-1994-BeerBGGY #hardware #verification
Methodology and System for Practical Formal Verification of Reactive Hardware (IB, SBD, DG, RG, MY), pp. 182–193.
ICDARICDAR-1993-JaisimhaHD #algorithm #performance
A methodology for the characterization of the performance of thinning algorithms (MYJ, RMH, DD), pp. 282–286.
ICDARICDAR-1993-PhillipsHHD #database #documentation #implementation
The implementation methodology for a CD-ROM English document database (ITP, JH, RMH, DD), pp. 484–487.
ICDARICDAR-1993-PlamondonBCS #algorithm #design #preprocessor #validation
Validation of preprocessing algorithms: A methodology and its application to the design of a thinning algorithm for handwritten characters (RP, MB, CC, CYS), pp. 262–269.
ICSMECSM-1993-Schneidewind #quality #standard
Report on the IEEE Standard for a Software Quality Memcs Methodology (NFS), pp. 104–106.
HCIHCI-ACS-1993-CarstensenS #analysis #requirements
Work Analysis — Perspectives on and Requirements for a Methodology (PHC, KS), pp. 575–580.
HCIHCI-ACS-1993-KorunkaHK #aspect-oriented #case study #experience #human-computer
Methodological Aspects of Longitudinal Studies — Experiences from a HCI Study (CK, KHH, BK), pp. 709–714.
HCIHCI-SHI-1993-ChaoS #development #elicitation #optimisation
Development of a Methodology for Optimizing the Elicited Knowledge (CJC, GS), pp. 879–884.
CHIINTERCHI-1993-Bailey #design #human-computer #interface
Iterative methodology and designer training in human-computer interface design (GSB), pp. 198–205.
CHIINTERCHI-1993-Wang #using
A groupware engine using UIMS methodologies (abstract) (LW), pp. 249–250.
TOOLSTOOLS-PACIFIC-1993-Hawryszkiewycz #object-oriented #reuse
Object-Oriented Methodology Emphasizing Reuse Through Customization (IH), pp. 407–419.
TOOLSTOOLS-PACIFIC-1993-Henderson-SellersE93a #named #object-oriented #re-engineering
MOSES: Methodology for Object-Oriented Software Engineering of Systems (BHS, JME), p. 318.
TOOLSTOOLS-PACIFIC-1993-RahayuC #database #object-oriented #relational
A Methodology for Transforming an Object-Oriented Data Model to a Relational Database (JWR, EC), pp. 99–115.
TOOLSTOOLS-PACIFIC-1993-RatjensS #framework #named
ClassWorks — a Methodological Framework for Developing Systems (MR, RS), p. 317.
TOOLSTOOLS-USA-1993-Henderson-Sellers93a #named #object-oriented #re-engineering
MOSES: Methodology for Object-Oriented Software Engineering of Systems (BHS), p. 559.
SACSAC-1993-AgostiC #automation #hypermedia #information retrieval
A Methodology for the Automatic Construction of a Hypertext for Information Retrieval (MA, FC), pp. 745–753.
SACSAC-1993-AlGhamdiU #programming language
Comparing and Assessing Programming Languages: Basis for a Qualitative Methodology (JA, JEU), pp. 222–229.
HPDCHPDC-1993-JoshiHV #algorithm
A Methodology for Evaluating Load Balancing Algorithms (BSJ, SHH, KV), pp. 216–223.
PPoPPPPoPP-1993-BalaFC #optimisation #representation
Explicit Data Placement (XDP): A Methodology for Explicit Compile-Time Representation and Optimization (VB, JF, LC), pp. 139–148.
DACDAC-1992-SantucciDGB #behaviour #generative
A Methodology to Reduce the Computational Cost of Behavioral Test Pattern Generation (JFS, GD, NG, MB), pp. 267–272.
VLDBVLDB-1992-Rundensteiner #database #multi #named #object-oriented
Multiview: A Methodology for Supporting Multiple Views in Object-Oriented Databases (EAR), pp. 187–198.
CHICHI-1992-KimL #composition #design #functional #logic #object-oriented #process #towards
Towards a model of cognitive process in logical design: comparing object-oriented and traditional functional decomposition software methodologies (JK, FJL), pp. 489–498.
AdaTRI-Ada-C-1992-Brookman #object-oriented
Object Oriented Methodology Demonstration (OOMD) and Discussion (DB), pp. 233–238.
CAiSECAiSE-1992-Dewal #analysis #evaluation #requirements
A Methodology for Requirements Analysis and Evaluation of SDEs (SD), pp. 394–409.
CIKMCIKM-1992-SongP #bibliography #database #design #object-oriented
Object-Oriented Database Design Methodologies: A Survey (IYS, EKP), pp. 115–142.
SEKESEKE-1992-ChungL #object-oriented #programming #testing
Object-Oriented Programming Testing Methodology (CMC, MCL), pp. 378–385.
TOOLSTOOLS-USA-1992-CapretzL #lifecycle #object-oriented #reuse
Reusability and Life Cycle Issues Within ans Object-Oriented Methodology (LFC, PAL), pp. 139–150.
SOSPSOSP-WIP-1991-Herlihy92 #concurrent #implementation
A Methodology for Implementing Highly Concurrent Data Objects (Abstract) (MH), p. 12.
DACDAC-1991-AllenRF #framework
The MCC CAD Framework Methodology Management System (WA, DR, KWF), pp. 694–698.
DACDAC-1991-ChengDK #design #generative #robust #standard #synthesis #testing
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology (KTC, SD, KK), pp. 80–86.
SIGMODSIGMOD-1991-ChrysanthisRR #concurrent
Extracting Concurrency from Objects: A Methodology (PKC, SR, KR), pp. 108–117.
VLDBVLDB-1991-Eick #concept #design
A Methodology for the Design and Transformation of Conceptual Schemas (CFE), pp. 25–34.
PLDIPLDI-1991-JaffarMY #constraints
A Methodology for Managing Hard Constraints in CLP Systems (JJ, SM, RHCY), pp. 306–316.
FMVDME-1991-2-Krieg-BrucknerKLT #development
The PROSPECTRA Methodology and System: Uniform Transformational (Meta-) Development (BKB, EWK, JL, OT), pp. 363–397.
CAiSECAiSE-1991-SmolanderLTM #flexibility #modelling #named #visual notation
MetaEdit — A Flexible Graphical Environment for Methodology Modelling (KS, KL, VPT, PM), pp. 168–193.
TOOLSTOOLS-USA-1991-Nerson #analysis #design
Analysis and Design Methodologies (JMN), pp. 375–376.
POPLPOPL-1991-HenzingerMP #proving #realtime
Temporal Proof Methodologies for Real-time Systems (TAH, ZM, AP), pp. 353–366.
ICSEICSE-1991-PurtiloLC #in the large #prototype
A Methodology for Prototyping-in-the-Large (JMP, AL, JC), pp. 2–12.
CAVCAV-1991-SegerJ #using #verification
A Two-Level Formal Verification Methodology using HOL and COSMOS (CJHS, JJJ), pp. 299–309.
CSLCSL-1991-RaoKS #logic programming #proving #source code #termination
A Transformational Methodology for Proving Termination of Logic Programs (MRKKR, DK, RKS), pp. 213–226.
DACDAC-1990-FidukKKP #design #framework #perspective
Design Methodology Management — a CAD Framework Initiative Perspective (KWF, SK, MK, EBP), pp. 278–283.
CHICHI-1990-LewisPWR #design #interface #testing
Testing a walkthrough methodology for theory-based design of walk-up-and-use interfaces (CHL, PGP, CW, JR), pp. 235–242.
ICSEICSE-1990-MadhavjiGDS
Prism = Methodology + Process-oriented Environment (NHM, VG, WD, WS), pp. 277–288.
PPoPPPPoPP-1990-Herlihy #concurrent #data type #implementation
A Methodology for Implementing Highly Concurrent Data Structures (MH), pp. 197–206.
ICLPCLP-1990-HarsatG90
An Extended RISC Methodology and its Application to FCP (AH, RG), pp. 67–82.
DACDAC-1989-DaveP #generative #testing #using
A Functional-Level Test Generation Methodology Using Two-level Representations (UJD, JHP), pp. 722–725.
DACDAC-1989-Keutzer #architecture #design #generative #logic #synthesis
Three Competing Design Methodologies for ASIC’s: Architectual Synthesis, Logic Synthesis, Logic Synthesis and Module Generation (KK), pp. 308–313.
DACDAC-1989-MillerGSW #design #integration #object-oriented
The Object-Oriented Integration Methodology of the Cadlab Work Station Design Environment (JM, KG, GS, CW), pp. 807–810.
DACDAC-1989-RumseyS #simulation
An ASIC Methodology for Mixed Analog-Digital Simulation (MR, JS), pp. 618–621.
PLDIPLDI-1989-FarrowS #attribute grammar #compilation
A VHDL Compiler Based on Attribute Grammar Methodology (RF, AGS), pp. 120–130.
CAiSECAiSE-1989-Bostrom #development #information management #tool support
Information Systems Development Supporting Methodologies with Computerized Tools (BTB).
SIGIRSIGIR-1989-SaltonS #analysis #automation #on the
On the Application of Syntactic Methodologies in Automatic Text Analysis (GS, MS), pp. 137–150.
PPDPPLILP-1988-KluzniakM #code generation #towards
Towards a “Middle Road” Methodology for Writing Code Generators (FK, MM), pp. 105–118.
DACDAC-1987-ApteK #layout #standard
Strip Layout: A New Layout Methodology for Standard Circuit Modules (JA, GK), pp. 363–369.
HCIHCI-CE-1987-Gregory #design #normalisation #user interface
Methodology for Designing a Normalized User Interface (KG), pp. 139–146.
HCIHCI-CE-1987-Sheppard #modelling #multi
A Methodology for Modeling Multi-Position EV and IV Tasks for Space Station (SBS), pp. 281–288.
ICLPICLP-1987-JaffarM87 #implementation
Methodology and Implementation of a CLP System (JJ, SM), pp. 196–218.
AdaCRAI-1986-Krieg-Bruckner #integration #verification
Integration of Program Construction and Verification: The PROSPECTRA Methodology (BKB), pp. 173–194.
SIGIRSIGIR-1986-TruckenmullerR #analysis #design #perspective #topic
Methodological Issues for the Design of an Office Information Server — Focal Topics for the Analysis from an Office System Perspective (TWT, MR), pp. 39–48.
DACDAC-1985-BreuerZ #knowledge base
A knowledge based system for selecting a test methodology for a PLA (MAB, XaZ), pp. 259–265.
DACDAC-1985-IachponiVBI #architecture #array #design
A hierarchical gate array architecture and design methodology (MI, DV, SB, AI), pp. 439–442.
SIGIRSIGIR-1985-CanO #clustering #concept
Concepts of the Cover-Coefficient-Based Clustering Methodology (FC, EAO), pp. 204–211.
SIGIRSIGIR-1985-Radecki #clustering #ranking #retrieval
Output Ranking Methodology for Document-Clustering-Based Boolean Retrieval Systems (TR), pp. 70–76.
ICSEICSE-1985-Chen #development #formal method #functional #implementation #programming
Extending the Implementation Scheme of Functional Programming System FP for Supporting the Formal Software Development Methodology (QC), pp. 50–54.
DACDAC-1984-KrieteN #design #metaprogramming #parametricity
A VLSI design methodology based on parametric macro cells (RAK, RKN), pp. 686–688.
DACDAC-1984-MartinezN #compilation
Methodology for compiler generated silicon structures (AM, SN), pp. 689–691.
DACDAC-1984-ParkerKM #design #synthesis #verification
A general methodology for synthesis and verification of register-transfer designs (ACP, FJK, MJM), pp. 329–335.
SIGMODSIGMOD-1984-BoralD #database #evaluation #performance
A Methodology for Database System Performance Evaluation (HB, DJD), pp. 176–185.
ICLPILPC-1984-SunW84 #logic programming
A Model Theory of Logic Programming Methodology (HS, LW), pp. 253–262.
ICLPSLP-1984-KitakamiKMF84 #implementation #information management
A Methodology for Implementation of a Knowledge Acquisition System (HK, SK, TM, KF), pp. 131–142.
DACDAC-1983-AhdootAC #design
IBM FSD VLSI chip design methodology (KA, RRA, LC), pp. 39–45.
DACDAC-1983-Ulrich #concurrent #design #simulation #verification
A design verification methodology based on concurrent simulation and clock suppression (EU), pp. 709–712.
PODSPODS-1983-CasanovaV #integration #towards
Towards a Sound View Integration Methodology (MAC, VMPV), pp. 36–47.
VLDBVLDB-1983-BrownP #design #logic #named
LAURA: A Formal Data Model and her Logical Design Methodology (RB, DSPJ), pp. 206–218.
DACDAC-1982-BeylsHLMP #design #layout #tool support
A design methodology based upon symbolic layout and integrated cad tools (AMB, BH, JL, GM, AP), pp. 872–878.
DACDAC-1982-Hayes #fault #simulation
A fault simulation methodology for VLSI (JPH), pp. 393–399.
VLDBVLDB-1982-NavatheG #database #design #logic
A Methodology for View Inegration in Logical Database Design (SBN, SGG), pp. 142–164.
ICSEICSE-1982-KuoLR #approach
A Form-Based Approach to Human Engineering Methodologies (HCCK, CHL, JR), pp. 254–271.
ICLPILPC-1982-MarkuszK82 #design #programming #prolog
A Design Methodology in Prolog Programming (ZM, AAK), pp. 139–145.
ICSEICSE-1981-AndrewsB #automation #implementation #testing
An Automated Program Testing Methodology and Its Implementation (DMA, JPB), pp. 254–262.
ICSEICSE-1981-BaerGGR #modelling #protocol #proving #specification
The Two-Step Commitment Protocol: Modeling, Specification and Proof Methodology (JLB, GG, CG, GR), pp. 363–373.
ICSEICSE-1981-ChenZ #analysis #clustering #re-engineering
Use of Cluster Analysis to Evaluate Software Engineering Methodologies (EC, MVZ), pp. 117–124.
ICSEICSE-1981-CunhaM #data type #programming
Resource = Abstract Data Type + Synchronization — A Methodology for Message Oriented Programming (PRFC, TSEM), pp. 263–272.
ICSEICSE-1981-Lingard #interactive #tool support
A Software Methodology for Buidling Interactive Tools (RWL), pp. 394–399.
SIGMODSIGMOD-1980-ChiuH #query
A Methodology for Interpreting Tree Queries Into Optimal Semi-Join Expressions (DMC, YCH), pp. 169–178.
SIGMODSIGMOD-1980-LuskOP #database #design #implementation #using
A Practical Design Methodology for the Implementation of IMS Databases, Using the Entity-Relationship Model (ELL, RAO, BDP), pp. 9–21.
VLDBVLDB-1980-Jefferson #database #design #development #tool support
The Development and Application of Data Base Design Tools and Methodology (DKJ), pp. 153–154.
DACDAC-1979-Lattin #design #problem
VLSI design methodology the problem of the 80’s for microprocessor design (BL), pp. 548–549.
VLDBVLDB-1979-QuintellaGOAL #case study #database #development #tool support
A Methodologic Guide-Line for the Instalation of Data Base Development Tools and Data Administration Tools: Two Case Studies from Brazil (HMQ, GAdG, MRdO, LTdA, FRL), pp. 313–318.
FMFM-1979-Berg #design #hardware #towards
Towards a Uniform Design Methodology for Software, Firmware, and Hardware (HKB), pp. 1–38.
FMFM-1979-BoydPW #bibliography #design
An Overview of RDM: Rational Design Methodology (DLB, AP, WTW), pp. 79–110.
ICSEICSE-1979-BerryP #design #specification
The Use of a Module Interconnection Specification Capability in the SARA System Design Methodology (DMB, MH(P), pp. 294–307.
ICSEICSE-1979-Bruggere #re-engineering
Software Engineering: Management, Personnel and Methodology (THB), pp. 361–368.
DACDAC-1978-Bergland #design
Structured design methodologies (GDB), pp. 475–493.
DACDAC-1978-Stange #logic #network #scalability
A test methodology for large logic networks (GHS), pp. 103–109.
VLDBVLDB-1978-Schkolnick #bibliography #database #design #physics
A Survey of Physical Database Design Methodology and Techniques (MS), pp. 474–487.
ICSEICSE-1978-BoydP #design
Introduction to the Wellmade Design Methodology (DLB, AP), pp. 94–100.
ICSEICSE-1978-PedersenB #industrial
Kongsberg’s Road to an Industrial Software Methodology (JTP, JKB), pp. 85–93.
ICSEICSE-1978-Scott #architecture #functional
An Engineering Methodology for Presenting Software Functional Architecture (LRS), pp. 222–229.
SIGMODSIGMOD-1977-SuL #database #program analysis #semantics
A Methodology of Application Program Analysis and Conversion Based on Database Semantics (SYWS, BJL), pp. 75–87.
VLDBVLDB-1977-YehB #approach #design #re-engineering #towards
Toward a Design Methodology for DBMS: A Software Engineering Approach (RTY, JWB), pp. 16–27.
POPLPOPL-1976-Summers #lisp
A Methodology for Lisp Program Construction from Examples (PDS), pp. 68–76.
ICSEICSE-1976-Alford #realtime #requirements
A Requirements Engineering Methodology for Real-Time Processing Requirements (Abstract) (MWA), p. 69.
ICSEICSE-1976-GauldingL #design #development #process #realtime
Process Design Engineering: A Methodology for Real-Time Software Development (SNG, JDL), pp. 80–85.
ICSEICSE-1976-Salter #requirements
A Methodology for Decomposing System Requirements Into Data Processing Requirements (KGS), pp. 91–101.
SIGMODSIGFIDET-1974-YamaguchiM #source code
Methodology for Transferring Programs and Data (KY, AGM), pp. 141–155.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.