BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
predict (62)
softwar (53)
detect (49)
use (47)
model (34)

Stem defect$ (all stems)

274 papers:

CASECASE-2015-AntonelloGM #detection #fault
Autonomous robotic system for thermographic detection of defects in upper layers of carbon fiber reinforced polymers (MA, SG, EM), pp. 634–639.
CASECASE-2015-LuoCCOLL #fault #geometry #locality #modelling
Enhancement of weld coverage and localization of defect through geometrical modeling for ultrasound inspection of TKY weld (HL, QHC, WSC, ETO, WJL, WL), pp. 1484–1489.
DATEDATE-2015-LiaperdosAT #detection #estimation #fault #probability #testing
A method for the estimation of defect detection probability of analog/RF defect-oriented tests (JL, AA, YT), pp. 1395–1400.
DATEDATE-2015-MavropoulosKN #architecture #configuration management
A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems (MM, GK, DN), pp. 417–422.
ICPCICPC-2015-ThungLL #categorisation #fault
Active semi-supervised defect categorization (FT, XBDL, DL), pp. 60–70.
ICSMEICSME-2015-KasubuchiMYO #effectiveness #empirical #evaluation #fault #repository
An empirical evaluation of the effectiveness of inspection scenarios developed from a defect repository (KK, SM, AY, CO), pp. 439–448.
MSRMSR-2015-DonadelliZR #case study #fault #replication #using
Organizational Volatility and Post-release Defects: A Replication Case Study Using Data from Google Chrome (SMD, YCZ, PCR), pp. 391–395.
MSRMSR-2015-HabayebMMBB #dataset #fault
The Firefox Temporal Defect Dataset (MH, AVM, SSM, LB, AB), pp. 498–501.
MSRMSR-2015-ThongtanunamMHI #bibliography #code review #empirical
Investigating Code Review Practices in Defective Files: An Empirical Study of the Qt System (PT, SM, AEH, HI), pp. 168–179.
ICEISICEIS-v2-2015-KustersMT #agile #development #fault #injection
The Impact of Lean Techniques on Factors Influencing Defect Injection in Software Development (RJK, FMM, JJMT), pp. 412–419.
ECIRECIR-2015-Moghaddam #analysis #fault #feedback #mining #sentiment
Beyond Sentiment Analysis: Mining Defects and Improvements from Customer Feedback (SM), pp. 400–410.
SEKESEKE-2015-AndoSUWFIOHKSNY #developer #development #experience #fault #how #process #question
How Does Defect Removal Activity of Developer Vary with Development Experience? (RA, SS, CU, HW, YF, SI, HO, YH, MK, KS, KN, MY), pp. 540–545.
SEKESEKE-2015-ChenM #empirical #fault #predict
An empirical study on predicting defect numbers (MC, YM), pp. 397–402.
SEKESEKE-2015-Murillo-MoreraJ #algorithm #approach #framework #learning #predict #search-based #using
A Software Defect-Proneness Prediction Framework: A new approach using genetic algorithms to generate learning schemes (JMM, MJ), pp. 445–450.
SEKESEKE-2015-TunnellA #fault #modelling #predict #release planning #using
Using Time Series Models for Defect Prediction in Software Release Planning (JT, JA), pp. 451–454.
SACSAC-2015-XuanLXT #empirical #fault #metric #predict #set #using
Evaluating defect prediction approaches using a massive set of metrics: an empirical study (XX, DL, XX, YT), pp. 1644–1647.
ESEC-FSEESEC-FSE-2015-JingWDQX #fault #learning #metric #predict #representation
Heterogeneous cross-company defect prediction by unified metric representation and CCA-based transfer learning (XYJ, FW, XD, FQ, BX), pp. 496–507.
ESEC-FSEESEC-FSE-2015-KimNYCK #api #fault #named #performance #predict #testing
REMI: defect prediction for efficient API testing (MK, JN, JY, SC, SK), pp. 990–993.
ESEC-FSEESEC-FSE-2015-NamK #fault #predict
Heterogeneous defect prediction (JN, SK), pp. 508–519.
ICSEICSE-v1-2015-GhotraMH #classification #fault #modelling #performance #predict
Revisiting the Impact of Classification Techniques on the Performance of Defect Prediction Models (BG, SM, AEH), pp. 789–800.
ICSEICSE-v1-2015-PetersML #fault #named #predict #privacy
LACE2: Better Privacy-Preserving Data Sharing for Cross Project Defect Prediction (FP, TM, LL), pp. 801–811.
ICSEICSE-v1-2015-Tantithamthavorn #fault #modelling #performance #predict
The Impact of Mislabelling on the Performance and Interpretation of Defect Prediction Models (CT, SM, AEH, AI, KiM), pp. 812–823.
ICSEICSE-v2-2015-CaglayanTBHMC #fault #industrial #metric #predict #replication
Merits of Organizational Metrics in Defect Prediction: An Industrial Replication (BC, BT, ABB, MH, AM, EC), pp. 89–98.
ICSEICSE-v2-2015-TanTDM #fault #online #predict
Online Defect Prediction for Imbalanced Data (MT, LT, SD, CM), pp. 99–108.
ICSTICST-2015-LelliBB #fault #user interface
Classifying and Qualifying GUI Defects (VL, AB, BB), pp. 1–10.
ASEASE-2014-LiuSLZWDW #automation #case study #detection #documentation #fault
Automatic early defects detection in use case documents (SL, JS, YL, YZ, BW, JSD, XW), pp. 785–790.
ASEASE-2014-Schneider #fault #modelling
Tracking down root causes of defects in simulink models (JS), pp. 599–604.
DATEDATE-2014-ErbSSB #fault #performance #smt
Efficient SMT-based ATPG for interconnect open defects (DE, KS, MS, BB), pp. 1–6.
SANERCSMR-WCRE-2014-OyetoyanCC #component #dependence #evolution #fault
Transition and defect patterns of components in dependency cycles during software evolution (TDO, DSC, RC), pp. 283–292.
SANERCSMR-WCRE-2014-PanichellaOL #fault #modelling #predict
Cross-project defect prediction models: L’Union fait la force (AP, RO, ADL), pp. 164–173.
ICPCICPC-2014-SteidlE #fault #maintenance #recommendation #refactoring
Prioritizing maintainability defects based on refactoring recommendations (DS, SE), pp. 168–176.
ICSMEICSME-2014-ParizyTK #design #fault #predict
Software Defect Prediction for LSI Designs (MP, KT, YK), pp. 565–568.
ICSMEICSME-2014-Sasso #fault
Managing Software Defects (TDS), p. 669.
MSRMSR-2014-0001MKZ #fault #predict #towards
Towards building a universal defect prediction model (FZ, AM, IK, YZ), pp. 182–191.
MSRMSR-2014-FukushimaKMYU #empirical #fault #modelling #predict #using
An empirical study of just-in-time defect prediction using cross-project models (TF, YK, SM, KY, NU), pp. 172–181.
MSRMSR-2014-GuptaSP #fault #mining #multi #perspective #process #repository
Process mining multiple repositories for software defect resolution from control and organizational perspective (MG, AS, SP), pp. 122–131.
HCIDUXU-DI-2014-HeZL #detection #fault #feature model #image #segmentation
Aluminum CT Image Defect Detection Based on Segmentation and Feature Extraction (NH, LZ, KL), pp. 446–454.
ICPRICPR-2014-EnzbergA #automation #fault #recognition
A Defect Recognition System for Automated Inspection of Non-rigid Surfaces (SvE, AAH), pp. 1812–1816.
ICPRICPR-2014-SariE #analysis #detection #fault #independence #using
Texture Defect Detection Using Independent Vector Analysis in Wavelet Domain (LS, AE), pp. 1639–1644.
SEKESEKE-2014-SolariM #detection #empirical #fault #testing
A Controlled Experiment to Explore Potentially Undetectable Defects for Testing Techniques (MS, SM), pp. 106–109.
SEKESEKE-2014-WangKN #classification #fault #metric #performance #predict
Choosing the Best Classification Performance Metric for Wrapper-based Software Metric Selection for Defect Prediction (HW, TMK, AN), pp. 540–545.
ICSEICSE-2014-JingYZWL #fault #learning #predict #taxonomy
Dictionary learning based software defect prediction (XYJ, SY, ZWZ, SSW, JL), pp. 414–423.
ISSTAISSTA-2014-JustJE #database #fault #java #named #source code #testing
Defects4J: a database of existing faults to enable controlled testing studies for Java programs (RJ, DJ, MDE), pp. 437–440.
ASEASE-2013-JiangTK #fault #personalisation #predict
Personalized defect prediction (TJ, LT, SK), pp. 279–289.
CASECASE-2013-LanzaKPSS #analysis #automation #detection #fault #using
Automated optical detection of particles and defects on a Li-Ion-cell surface using a single-point analysis (GL, AK, SP, AS, SS), pp. 675–680.
DACDAC-2013-CongX #fault #programmable
Defect tolerance in nanodevice-based programmable interconnects: utilization beyond avoidance (JC, BX), p. 8.
DATEDATE-2013-ShihW #3d #fault
An enhanced double-TSV scheme for defect tolerance in 3D-IC (HCS, CWW), pp. 1486–1489.
DATEDATE-2013-SuR #logic
Defect-tolerant logic hardening for crossbar-based nanosystems (YS, WR), pp. 1801–1806.
CSMRCSMR-2013-MihanceaM #correlation #fault #morphism #polymorphism #question
Changes, Defects and Polymorphism: Is There Any Correlation? (PFM, CM), pp. 341–344.
ICSMEICSM-2013-OyetoyanCC #component #question #refactoring
Can Refactoring Cyclic Dependent Components Reduce Defect-Proneness? (TDO, DSC, RC), pp. 420–423.
MSRMSR-2013-AmouiKATLL #detection #experience #fault #industrial #search-based
Search-based duplicate defect detection: an industrial experience (MA, NK, AAD, LT, SL, WL), pp. 173–182.
MSRMSR-2013-HuW #fault #predict #using
Using citation influence to predict software defects (WH, KW), pp. 419–428.
MSRMSR-2013-LamkanfiPD #dataset #debugging #eclipse #fault #mining
The eclipse and mozilla defect tracking dataset: a genuine dataset for mining bug information (AL, JP, SD), pp. 203–206.
MSRMSR-2013-PetersMM #fault #predict
Better cross company defect prediction (FP, TM, AM), pp. 409–418.
SCAMSCAM-2013-OyetoyanCC #component #fault
Criticality of defects in cyclic dependent components (TDO, RC, DSC), pp. 21–30.
WCREWCRE-2013-FryW #clustering #fault #maintenance #static analysis
Clustering static analysis defect reports to reduce maintenance costs (ZPF, WW), pp. 282–291.
SEKESEKE-2013-CalikliB #bias #developer #fault #predict
The Impact of Confirmation Bias on the Release-based Defect Prediction of Developer Groups (, AB), pp. 461–466.
RERE-2013-FeldererB #fault #industrial #requirements #taxonomy #using #validation
Using defect taxonomies for requirements validation in industrial projects (MF, AB), pp. 296–301.
ESEC-FSEESEC-FSE-2013-RahmanPHD #bias #fault #predict
Sample size vs. bias in defect prediction (FR, DP, IH, PTD), pp. 147–157.
ESEC-FSEESEC-FSE-2013-ZhangC #effectiveness #fault #modelling #predict
A cost-effectiveness criterion for applying software defect prediction models (HZ, SCC), pp. 643–646.
ICSEICSE-2013-NamPK #fault #learning
Transfer defect learning (JN, SJP, SK), pp. 382–391.
ICSTICST-2013-CanforaLPOPP #fault #multi #predict
Multi-objective Cross-Project Defect Prediction (GC, ADL, MDP, RO, AP, SP), pp. 252–261.
ICSTICST-2013-KaushikATLL #challenge #fault #industrial
Defect Prioritization in the Software Industry: Challenges and Opportunities (NK, MA, LT, WL, SL), pp. 70–73.
ASEASE-2012-LuCC #fault #learning #predict #reduction #using
Software defect prediction using semi-supervised learning with dimension reduction (HL, BC, MC), pp. 314–317.
ASEASE-2012-ThungLLJRD #debugging #detection #empirical #fault #tool support #what
To what extent could we detect field defects? an empirical study of false negatives in static bug finding tools (FT, L, DL, LJ, FR, PTD), pp. 50–59.
CASECASE-2012-SchmidtH #automation #data transformation #fault
Managing data for a zero defect production — the contribution of manufacturing automation to a corporate strategy (GS, TH), pp. 70–73.
CASECASE-2012-YaqubGK #fault #multi
Impact characterization of multiple-points-defect on machine fault diagnosis (MFY, IG, JK), pp. 479–484.
CASECASE-2012-YumKK #analysis #bibliography #perspective
Analysis of defective patterns on wafers in semiconductor manufacturing: A bibliographical review (BJY, JHK, SJK), pp. 86–90.
DACDAC-2012-YeC #3d #fault
TSV open defects in 3D integrated circuits: characterization, test, and optimal spare allocation (FY, KC), pp. 1024–1030.
DATEDATE-2012-AzevedoVBDGTPAM #architecture #fault
Impact of resistive-open defects on the heat current of TAS-MRAM architectures (JA, AV, AB, LD, PG, ATS, GP, JAH, KM), pp. 532–537.
DATEDATE-2012-HaronH #fault
DfT schemes for resistive open defects in RRAMs (NZH, SH), pp. 799–804.
DATEDATE-2012-MarinissenVGHRMB #detection #process
EDA solutions to new-defect detection in advanced process technologies (EJM, GV, SKG, FH, JR, NM, SB), pp. 123–128.
FASEFASE-2012-MahouachiKG #classification #design #detection #fault
A New Design Defects Classification: Marrying Detection and Correction (RM, MK, KG), pp. 455–470.
MSRMSR-2012-BettenburgNH #fault #modelling #predict
Think locally, act globally: Improving defect and effort prediction models (NB, MN, AEH), pp. 60–69.
MSRMSR-2012-ChenTNH #fault #modelling #topic #using
Explaining software defects using topic models (THC, SWT, MN, AEH), pp. 189–198.
MSRMSR-2012-SteffR #co-evolution #commit #estimation #fault #logic
Co-evolution of logical couplings and commits for defect estimation (MS, BR), pp. 213–216.
WCREWCRE-2012-ThungLJ #automation #categorisation #fault
Automatic Defect Categorization (FT, DL, LJ), pp. 205–214.
ICPRICPR-2012-MiaoLZ #fault #feature model #predict
Cost-sensitive feature selection with application in software defect prediction (LM, ML, DZ), pp. 967–970.
ICPRICPR-2012-WechtitschFS #detection #fault #robust
Robust detection of single-frame defects in archived film (SW, HF, PS), pp. 2647–2650.
SEKESEKE-2012-WangKWN #empirical #fault #metric #predict
An Empirical Study of Software Metric Selection Techniques for Defect Prediction (HW, TMK, RW, AN), pp. 94–99.
RERE-2012-Ott #fault #legacy #natural language #specification #using
Defects in natural language requirement specifications at Mercedes-Benz: An investigation using a combination of legacy data and expert opinion (DO), pp. 291–296.
FSEFSE-2012-CaglayanMCBAT #fault #metric #named #predict
Dione: an integrated measurement and defect prediction solution (BC, ATM, , AB, TA, BT), p. 20.
FSEFSE-2012-RahmanPD #fault #predict
Recalling the “imprecision” of cross-project defect prediction (FR, DP, PTD), p. 61.
ICSEICSE-2012-NguyenNDKS #analysis #developer #fault
Inferring developer expertise through defect analysis (TTN, TNN, ED, TK, PS), pp. 1297–1300.
ICSEICSE-2012-PetersM #fault #predict #privacy
Privacy and utility for defect prediction: Experiments with MORPH (FP, TM), pp. 189–199.
ASEASE-2011-HuangNPGBT #automation #classification #fault #generative #named #orthogonal
AutoODC: Automated generation of Orthogonal Defect Classifications (LH, VN, IP, RG, XB, JT), pp. 412–415.
ASEASE-2011-MenziesBMZC #estimation #fault #modelling #predict
Local vs. global models for effort estimation and defect prediction (TM, AB, AM, TZ, DRC), pp. 343–351.
CASECASE-2011-MartinezOGG #adaptation #automation #fault #lens
An adaptable vision system for the automatic inspection of surface defects in automotive headlamp lenses (SSM, JGO, ASG, JGG), pp. 157–162.
DATEDATE-2011-EggersglusD #fault #generative #optimisation #pseudo #testing #using
As-Robust-As-Possible test generation in the presence of small delay defects using pseudo-Boolean optimization (SE, RD), pp. 1291–1296.
DATEDATE-2011-HashempourDTKHBX #fault #industrial #reduction #testing
Test time reduction in analogue/mixed-signal devices by defect oriented testing: An industrial example (HH, JD, BT, BK, CH, MvB, YX), pp. 371–376.
FASEFASE-2011-KessentiniSBW #design #detection #fault #search-based
Search-Based Design Defects Detection by Example (MK, HAS, MB, MW), pp. 401–415.
CSMRCSMR-2011-KessentiniSBW #design #detection #fault #generative #music
Design Defect Detection Rules Generation: A Music Metaphor (MK, HAS, MB, MW), pp. 241–248.
CSMRCSMR-2011-MendeKP #case study #fault #integration #on the #predict #testing
On the Utility of a Defect Prediction Model during HW/SW Integration Testing: A Retrospective Case Study (TM, RK, JP), pp. 259–268.
ICPCICPC-2011-KessentiniKSBO #design #detection #fault
Design Defects Detection and Correction by Example (MK, WK, HAS, MB, AO), pp. 81–90.
ICSMEICSM-2011-KhomhCZSD #fault #predict #testing #using
Predicting post-release defects using pre-release field testing results (FK, BC, YZ, AS, DD), pp. 253–262.
SCAMSCAM-2011-MarinescuM #fault #question
Are the Clients of Flawed Classes (Also) Defect Prone? (RM, CM), pp. 65–74.
WCREWCRE-2011-CodobanMM #design #fault #named #problem
iProblems — An Integrated Instrument for Reporting Design Flaws, Vulnerabilities and Defects (MC, CM, RM), pp. 437–438.
WCREWCRE-2011-PosnettHD #fault #question
Got Issues? Do New Features and Code Improvements Affect Defects? (DP, AH, PTD), pp. 211–215.
WCREWCRE-2011-TanPPZ #clustering #fault #predict #quality
Assessing Software Quality by Program Clustering and Defect Prediction (XT, XP, SP, WZ), pp. 244–248.
CAiSECAiSE-2011-LemaitreH #database #evaluation #fault #framework #quality #taxonomy #using
Quality Evaluation and Improvement Framework for Database Schemas — Using Defect Taxonomies (JL, JLH), pp. 536–550.
SEKESEKE-2011-GaoK #fault #predict
Software Defect Prediction for High-Dimensional and Class-Imbalanced Data (KG, TMK), pp. 89–94.
ESEC-FSEESEC-FSE-2011-LeeNHKI #fault #interactive #metric #predict
Micro interaction metrics for defect prediction (TL, JN, DH, SK, HPI), pp. 311–321.
ESEC-FSEESEC-FSE-2011-ShihabMKAH #case study #fault
High-impact defects: a study of breakage and surprise defects (ES, AM, YK, BA, AEH), pp. 300–310.
ICSEICSE-2011-KimZWG #fault #predict
Dealing with noise in defect prediction (SK, HZ, RW, LG), pp. 481–490.
ICSEICSE-2011-NguyenNP #fault #predict #topic
Topic-based defect prediction (TTN, TNN, TMP), pp. 932–935.
ICSEICSE-2011-RahmanD #experience #fault #fine-grained
Ownership, experience and defects: a fine-grained study of authorship (FR, PTD), pp. 491–500.
ISSTAISSTA-2011-Rubio-GonzalezL #fault #interactive #kernel #linux #pointer
Defective error/pointer interactions in the Linux kernel (CRG, BL), pp. 111–121.
DATEDATE-2010-BalatsoukaTKC #fault #power management #testing
Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
DATEDATE-2010-PengYTC #fault #process
High-quality pattern selection for screening small-delay defects considering process variations and crosstalk (KP, MY, MT, KC), pp. 1426–1431.
DATEDATE-2010-ZhangYDHRL #manycore #symmetry
Performance-asymmetry-aware topology virtualization for defect-tolerant NoC-based many-core processors (LZ, YY, JD, YH, SR, XL), pp. 1566–1571.
FASEFASE-2010-BacchelliDL #fault #question
Are Popular Classes More Defect Prone? (AB, MD, ML), pp. 59–73.
CSMRCSMR-2010-MendeK #fault #modelling #predict
Effort-Aware Defect Prediction Models (TM, RK), pp. 107–116.
WCREWCRE-2010-SelimBSAHZ #fault
Studying the Impact of Clones on Software Defects (GMKS, LB, WS, BA, AEH, YZ), pp. 13–21.
FLOPSFLOPS-2010-Sagonas #concurrent #detection #erlang #fault #source code #static analysis #using
Using Static Analysis to Detect Type Errors and Concurrency Defects in Erlang Programs (KFS), pp. 13–18.
ICPRICPR-2010-ChaoTLC #detection #fault
A Generalized Anisotropic Diffusion for Defect Detection in Low-Contrast Surfaces (SMC, DMT, WCL, WYC), pp. 4408–4411.
ICPRICPR-2010-TimmM #classification #fault #fourier #image #statistics
Statistical Fourier Descriptors for Defect Image Classification (FT, TM), pp. 4190–4193.
SEKESEKE-2010-AlhassanCB #analysis #fault #network #people #social
Do More People Make the Code More Defect Prone?: Social Network Analysis in OSS Projects (SA, BC, ABB), pp. 93–98.
SEKESEKE-2010-FagundesS #estimation #fault #using
Software Defect Estimation using Support Vector Regression (RAdAF, RMCRdS), pp. 265–268.
SEKESEKE-2010-PaikariRR #case study #comparative #fault #predict #reasoning #using
A Comparative Study of Attribute Weighting Techniques for Software Defect Prediction Using Case-based Reasoning (EP, MMR, GR), pp. 380–386.
FSEFSE-2010-Mockus #fault
Organizational volatility and its effects on software defects (AM), pp. 117–126.
ICSEICSE-2010-KlasEMHG #case study #fault #industrial #metric #predict
Transparent combination of expert and measurement data for defect prediction: an industrial case study (MK, FE, JM, KH, OvG), pp. 119–128.
ICSEICSE-2010-NandaGSCSB #tool support
Making defect-finding tools work for you (MGN, MG, SS, SC, DS, PB), pp. 99–108.
ICSTICST-2010-NagahawatteD #effectiveness #fault #testing
The Effectiveness of Regression Testing Techniques in Reducing the Occurrence of Residual Defects (PN, HD), pp. 79–88.
DATEDATE-2009-KavousianosC #fault #generative #testing
Generation of compact test sets with high defect coverage (XK, KC), pp. 1130–1135.
DATEDATE-2009-KhursheedAH #design #fault #multi #reduction
Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing (SSK, BMAH, PH), pp. 1349–1354.
DATEDATE-2009-LadharMB #fault #performance
Efficient and accurate method for intra-gate defect diagnoses in nanometer technology and volume data (AL, MM, LB), pp. 988–993.
DATEDATE-2009-YilmazC #detection #fault
Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects (MY, KC), pp. 1488–1493.
DATEDATE-2009-ZhengH #array #logic #programmable #satisfiability
Defect-aware logic mapping for nanowire-based programmable logic arrays via satisfiability (YZ, CH), pp. 1279–1283.
ICDARICDAR-2009-ArdizzonePDMN #fault #taxonomy
A Dual Taxonomy for Defects in Digitized Historical Photos (EA, ADP, HD, GM, CN), pp. 1166–1170.
CSMRCSMR-2009-MendeKL #evolution #fault #modelling #predict #scalability
Evaluating Defect Prediction Models for a Large Evolving Software System (TM, RK, ML), pp. 247–250.
ICSMEICSM-2009-LiGMMMGC #analysis #component #fault #multi #pervasive #scalability
Analysis of pervasive multiple-component defects in a large software system (ZL, MG, SSM, NHM, AVM, DG, EC), pp. 265–273.
ICSMEICSM-2009-Zhang #fault
An investigation of the relationships between lines of code and defects (HZ), pp. 274–283.
MSRMSR-2009-AyewahP #fault #learning
Learning from defect removals (NA, WP), pp. 179–182.
MSRMSR-2009-EkanayakeTGB #concept #fault #predict #quality #using
Tracking concept drift of software projects using defect prediction quality (JE, JT, HCG, AB), pp. 51–60.
WCREWCRE-1999-DAmbrosLR99a #fault #on the
On the Relationship Between Change Coupling and Software Defects (MD, ML, RR), pp. 135–144.
CAiSECAiSE-2009-Ghazarian #case study #fault
A Case Study of Defect Introduction Mechanisms (AG), pp. 156–170.
SEKESEKE-2009-AxelssonBFSK #bibliography #code review #detection #fault #interactive #machine learning #visualisation
Detecting Defects with an Interactive Code Review Tool Based on Visualisation and Machine Learning (SA, DB, RF, DS, DK), pp. 412–417.
SEKESEKE-2009-KocaguneliTBTC #analysis #fault #metric #named #predict
Prest: An Intelligent Software Metrics Extraction, Analysis and Defect Prediction Tool (EK, AT, ABB, BT, BC), pp. 637–642.
SEKESEKE-2009-RusNSC #algorithm #clustering #fault #graph #using
Clustering of Defect Reports Using Graph Partitioning Algorithms (VR, XN, SGS, YC), pp. 442–445.
RERE-2009-SalinesiRDM #classification #fault #feature model #modelling #product line #towards #verification
Looking for Product Line Feature Models Defects: Towards a Systematic Classification of Verification Criteria (CS, CR, DD, RM), pp. 385–386.
RERE-2009-Weber-JahnkeO #fault #natural language #requirements
Finding Defects in Natural Language Confidentiality Requirements (JHWJ, AO), pp. 213–222.
ESEC-FSEESEC-FSE-2009-ZimmermannNGGM #empirical #fault #predict #process #scalability
Cross-project defect prediction: a large scale experiment on data vs. domain vs. process (TZ, NN, HG, EG, BM), pp. 91–100.
DACDAC-2008-YuB #fault #multi #using
Multiple defect diagnosis using no assumptions on failing pattern characteristics (XY, RD(B), pp. 361–366.
DATEDATE-2008-ZhangHXL #fault #manycore #using
Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology (LZ, YH, QX, XL), pp. 891–896.
FASEFASE-2008-MohaGMD #algorithm #analysis #design #detection #fault
A Domain Analysis to Specify Design Defects and Generate Detection Algorithms (NM, YGG, AFLM, LD), pp. 276–291.
ICPCICPC-2008-Kothari #comprehension #fault #scalability
Scalable Program Comprehension for Analyzing Complex Defects (SCK), pp. 3–4.
ICSMEICSM-2008-DAmbros #analysis #dependence #evolution #fault
Supporting software evolution analysis with historical dependencies and defect information (MD), pp. 412–415.
ICSMEICSM-2008-MenziesM #assessment #automation #fault
Automated severity assessment of software defect reports (TM, AM), pp. 346–355.
MSRMSR-2008-RatzingerSG #fault #on the #predict #refactoring
On the relation of refactorings and software defect prediction (JR, TS, HCG), pp. 35–38.
MSRMSR-2008-Zhang #eclipse #fault
An initial study of the growth of eclipse defects (HZ), pp. 141–144.
SCAMSCAM-2008-YangGQW #fault #named #testing
DTS — A Software Defects Testing System (ZHY, YZG, XQ, WYW), pp. 269–270.
SEKESEKE-2008-MatalongaG #analysis #fault
Linking Return on Training Investment with Defects Causal Analysis (SM, TSFG), pp. 42–47.
SEKESEKE-2008-RusMS #automation #clustering #fault
Automatic Clustering of Defect Reports (VR, SM, SGS), pp. 291–296.
SEKESEKE-2008-TurhanB #fault #predict
Weighted Static Code Attributes for Software Defect Prediction (BT, ABB), pp. 143–148.
SEKESEKE-2008-XuSW #adaptation #fault #network #predict
An Adaptive Neural Network with Dynamic Structure for Software Defect Prediction (ZX, NS, WW), pp. 79–84.
ECMFAECMDA-FA-2008-RechS #bibliography #fault #modelling #visual notation
A Survey about the Intent to Use Visual Defect Annotations for Software Models (JR, AS), pp. 406–418.
MODELSMoDELS-2008-NugrohoFC #analysis #empirical #fault #modelling #uml
Empirical Analysis of the Relation between Level of Detail in UML Models and Defect Density (AN, BF, MRVC), pp. 600–614.
MODELSMoDELS-2008-NugrohoFC #analysis #empirical #fault #modelling #uml
Empirical Analysis of the Relation between Level of Detail in UML Models and Defect Density (AN, BF, MRVC), pp. 600–614.
OOPSLAOOPSLA-2008-ArnoldVY #detection #fault #named #performance #runtime
QVM: an efficient runtime for detecting defects in deployed systems (MA, MTV, EY), pp. 143–162.
RERE-2008-HoWR #fault #performance #problem #requirements
Examining the Relationships between Performance Requirements and “Not a Problem” Defect Reports (CWH, LW, BR), pp. 135–144.
SACSAC-2008-Martin-HughesR #scalability
Examining the motivations of defection in large-scale open systems (RMH, JR), pp. 2035–2039.
ICSEICSE-2008-MoserPS #analysis #comparative #fault #metric #performance #predict
A comparative analysis of the efficiency of change metrics and static code attributes for defect prediction (RM, WP, GS), pp. 181–190.
ICSEICSE-2008-WaliaCN #fault #modelling
The effect of the number of inspectors on the defect estimates produced by capture-recapture models (GSW, JCC, NN), pp. 331–340.
ICSEICSE-2008-ZimmermannN #analysis #dependence #fault #graph #network #predict #using
Predicting defects using network analysis on dependency graphs (TZ, NN), pp. 531–540.
ASEASE-2007-MohaG #design #detection #fault #named
Decor: a tool for the detection of design defects (NM, YGG), pp. 527–528.
DATEDATE-2007-FavalliM #detection #fault #interactive
Interactive presentation: Pulse propagation for the detection of small delay defects (MF, CM), pp. 1295–1300.
DATEDATE-2007-HashempourL #detection #fault #modelling
Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs (HH, FL), pp. 841–846.
DATEDATE-2007-HungIGS #fault
Utilization of SECDED for soft error and variation-induced defect tolerance in caches (LDH, HI, MG, SS), pp. 1134–1139.
DATEDATE-2007-MehraraASCBA #fault #low cost
Low-cost protection for SER upsets and silicon defects (MM, MA, SS, KC, VB, TMA), pp. 1146–1151.
FASEFASE-2007-RatzingerPG #evolution #fault #named #predict
EQ-Mine: Predicting Short-Term Defects for Software Evolution (JR, MP, HCG), pp. 12–26.
CSMRCSMR-2007-GuptaSCMRL #case study
A Case Study of Defect-Density and Change-Density and their Progress over Time (AG, OPNS, RC, PM, HR, EL), pp. 7–16.
MSRMSR-2007-MorisakiMMTM #data analysis #fault #mining
Defect Data Analysis Based on Extended Association Rule Mining (SM, AM, TM, HT, KiM), p. 3.
MSRMSR-2007-Schroter #fault #predict
Predicting Defects and Changes with Import Relations (AS), p. 31.
PASTEPASTE-2007-AyewahPMPZ #fault #static analysis
Evaluating static analysis defect warnings on production software (NA, WP, JDM, JP, YZ), pp. 1–8.
SEKESEKE-2007-HewettKM #order #predict
Predicting Order of Likelihood of Defective Software Modules (RH, PK, AvdM), pp. 93–98.
PPDPPPDP-2007-Sagonas #detection #erlang #fault #source code #static analysis #using
Detecting defects in Erlang programs using static analysis (KFS), p. 37.
ICSEICSE-2007-RunesonAN #detection #fault #natural language #using
Detection of Duplicate Defect Reports Using Natural Language Processing (PR, MA, ON), pp. 499–510.
ASEASE-2006-MohaGL #algorithm #automation #design #detection #fault #generative
Automatic Generation of Detection Algorithms for Design Defects (NM, YGG, PL), pp. 297–300.
DACDAC-2006-AhmedTJ #fault
Timing-based delay test for screening small delay defects (NA, MT, VJ), pp. 320–325.
DATEDATE-2006-HePE #clustering #scheduling #testing
Power constrained and defect-probability driven SoC test scheduling with test set partitioning (ZH, ZP, PE), pp. 291–296.
DATEDATE-2006-HuangG #fault
Diagnosis of defects on scan enable and clock trees (YH, KG), pp. 436–437.
DATEDATE-2006-HuangML #fault
Defect tolerance of QCA tiles (JH, MM, FL), pp. 774–779.
DATEDATE-2006-KrusemanH #detection #fault #on the
On test conditions for the detection of open defects (BK, MH), pp. 896–901.
DATEDATE-2006-NelsonZDBPMB #fault
Extraction of defect density and size distributions from wafer sort test results (JEN, TZ, RD, JGB, NP, WM, RD(B), pp. 913–918.
MSRMSR-2006-KnabPB #fault #predict #source code
Predicting defect densities in source code files with decision tree learners (PK, MP, AB), pp. 119–125.
MSRMSR-2006-SpaccoHP #fault
Tracking defect warnings across versions (JS, DH, WP), pp. 133–136.
ICPRICPR-v1-2006-Amano #correlation #detection #fault #image
Correlation Based Image Defect Detection (TA), pp. 163–166.
ICPRICPR-v1-2006-ChaoTTJ #detection #fault #using
Defect detection in low-contrast glass substrates using anisotropic diffusion (SMC, DMT, YHT, YRJ), pp. 654–657.
ICPRICPR-v1-2006-GayuboGFMP #detection #fault #online #process
On-line machine vision system for detect split defects in sheet-metal forming processes (FG, JLG, EdlFL, FMT, JRP), pp. 723–726.
ICPRICPR-v2-2006-TsaiTCY #analysis #component #design #detection #fault #image #independence
Independent component analysis based filter design for defect detection in low-contrast textured images (DMT, YHT, SMC, CHY), pp. 231–234.
SEKESEKE-2006-HaiderC #estimation #fault
Bayesian Estimation of Defects based on Defect Decay Model: BayesED3M (SWH, JWC), pp. 256–261.
SEKESEKE-2006-HewettKSA #fault #predict #testing
Software Defect Data and Predictability for Testing Schedules (RH, AK, CS, AAA), pp. 499–504.
ICSEICSE-2006-LangeC #fault #modelling #uml
Effects of defects in UML models: an experimental investigation (CFJL, MRVC), pp. 401–411.
ICSEICSE-2006-LiHSR #case study #experience #fault #predict
Experiences and results from initiating field defect prediction and product test prioritization efforts at ABB Inc (PLL, JDH, MS, BR), pp. 413–422.
ASPLOSASPLOS-2006-PatwardhanJDL #architecture #fault #self
A defect tolerant self-organizing nanoscale SIMD architecture (JPP, VJ, CD, ARL), pp. 241–251.
ASPLOSASPLOS-2006-ShyamCPBA #fault #low cost #pipes and filters
Ultra low-cost defect protection for microprocessor pipelines (SS, KC, SP, VB, TMA), pp. 73–82.
HPCAHPCA-2006-ConstantinidesPBZBMAO #architecture #named
BulletProof: a defect-tolerant CMP switch architecture (KC, SP, JAB, BZ, VB, SAM, TMA, MO), pp. 5–16.
ISSTAISSTA-2006-Wagner #analysis #detection #quality
A model and sensitivity analysis of the quality economics of defect-detection techniques (SW0), pp. 73–84.
CASECASE-2005-ChangLJ #detection #fault #network #using
Using a two-layer competitive Hopfield neural network for semiconductor wafer defect detection (CYC, SYL, MJ), pp. 301–306.
DACDAC-2005-DililloGPVB #analysis #comparison #fault #injection
Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies (LD, PG, SP, AV, MB), pp. 857–862.
DACDAC-2005-SuC05a #synthesis
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips (FS, KC), pp. 825–830.
DATEDATE-2005-CarterOS #concurrent #fault #modelling #testing
Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown (JRC, SO, DJS), pp. 300–305.
DATEDATE-2005-KherijiDCM #approach #optimisation #testing
Optimising Test Sets for a Low Noise Amplifier with a Defect-Oriented Approach (RK, VD, JLC, SM), pp. 170–171.
DATEDATE-2005-TangCRWRP #fault
Defect Aware Test Patterns (HT, GC, SMR, CW, JR, IP), pp. 450–455.
ECOOPECOOP-2005-DallmeierLZ #fault #java #lightweight #locality
Lightweight Defect Localization for Java (VD, CL, AZ), pp. 528–550.
RERE-2005-WassonSLK #fault #requirements #using
Using Occurrence Properties of Defect Report Data to Improve Requirements (KSW, KNS, RRL, JCK), pp. 253–262.
ESEC-FSEESEC-FSE-2005-Sherriff #fault #validation #verification
Utilizing verification and validation certificates to estimate software defect density (MS), pp. 381–384.
ICSEICSE-2005-NagappanB #fault #metric #predict
Use of relative code churn measures to predict system defect density (NN, TB), pp. 284–292.
ICSEICSE-2005-NagappanB05a #fault #static analysis #tool support
Static analysis tools as early indicators of pre-release defect density (NN, TB), pp. 580–586.
AMOSTAMOST-2005-SherriffNWV #estimation #fault #haskell #metric #using
Early estimation of defect density using an in-process Haskell metrics model (MS, NN, LAW, MAV).
DACDAC-2004-JacomeHVB #design #fault #paradigm #probability
Defect tolerant probabilistic design paradigm for nanotechnologies (MFJ, CH, GdV, SB), pp. 596–601.
DATEDATE-v2-2004-DworakCWM #detection #fault
Balanced Excitation and Its Effect on the Fortuitous Detection of Dynamic Defects (JD, BC, JW, MRM), pp. 1066–1071.
DATEDATE-v2-2004-MangoCWC #fault #testing
Pattern Selection for Testing of Deep Sub-Micron Timing Defects (MCTC, LCW, KTC), p. 160.
ICEISICEIS-v5-2004-VartiainenS #education #fault #usability
Defects, Usefulness and Usability of Ethics Theories in IS Ethics Education (TV, MTS), pp. 179–186.
ICPRICPR-v3-2004-JiaMSC #detection #fault #realtime
An Intelligent Real-time Vision System for Surface Defect Detection (HJ, YLM, JS, TSC), pp. 239–242.
ICPRICPR-v4-2004-MurinoBR #classification #fault #statistics
Statistical Classification of Raw Textile Defects (VM, MB, IAR), pp. 311–314.
FSEFSE-2004-LiSHRS #empirical #evaluation #fault #modelling
Empirical evaluation of defect projection models for widely-deployed production software systems (PLL, MS, JDH, BKR, PS), pp. 263–272.
ICSEICSE-2004-MohagheghiCKS #empirical #reuse
An Empirical Study of Software Reuse vs. Defect-Density and Stability (PM, RC, OMK, HS), pp. 282–292.
DACDAC-2003-KrsticWCLM #fault #modelling #statistics
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models (AK, LCW, KTC, JJL, TMM), pp. 668–673.
DATEDATE-2003-Al-ArsGBR #fault #optimisation #simulation #testing #using
Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation (ZAA, AJvdG, JB, DR), pp. 10484–10489.
DATEDATE-2003-KrsticWCLA #fault #modelling #statistics
Delay Defect Diagnosis Based Upon Statistical Timing Models — The First Step (AK, LCW, KTC, JJL, MSA), pp. 10328–10335.
AdaEuropeAdaEurope-2003-EvancoV #ada #architecture #fault
Some Architectural Features of Ada Systems Affecting Defects (WME, JMV), pp. 232–245.
ICEISICEIS-v2-2003-ShihFL #online #predict
Customer Defection Prediction in Online Bookstores (YYS, KF, DRL), pp. 352–358.
SEKESEKE-2003-MenziesLM #analysis #fault
Better Analysis of Defect Data at NASA (TM, RRL, ICM), pp. 607–611.
DATEDATE-2002-PronathGA #design #fault #float
A Test Design Method for Floating Gate Defects (FGD) in Analog Integrated Circuits (MP, HEG, KA), pp. 78–83.
ICPRICPR-v2-2002-GuptaDD #automation #classification #fault #feature model
Beam Search for Feature Selection in Automatic SVM Defect Classification (PG, DSD, DD), pp. 212–215.
ICPRICPR-v3-2002-MaalmiEBVDG #detection #fault #locality #using
Crack Defect Detection and Localization Using Genetic-Based Inverse Voting Hough Transform (KM, AEO, RB, LFCLYV, AD, PG), pp. 257–260.
ICPRICPR-v4-2002-TothCA #classification #fault
A Two-Stage-Classifier for Defect Classification in Optical Media Inspection (DT, AC, TA), pp. 373–376.
ICSEICSE-2002-Padberg #empirical #fault
Empirical interval estimates for the defect content after an inspection (FP), pp. 58–68.
DACDAC-2001-ChenBD #embedded #fault #testing #using
Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores (LC, XB, SD), pp. 317–320.
DATEDATE-2001-HashizumeIYT #detection #fault
CMOS open defect detection by supply current test (MH, MI, HY, TT), p. 509.
DATEDATE-2001-PomeranzR01a #detection #effectiveness #fault #generative #testing
Definitions of the numbers of detections of target faults and their effectiveness in guiding test generation for high defect coverage (IP, SMR), pp. 504–508.
ICSMEICSM-2001-BassinS #classification #fault #legacy #maintenance #orthogonal #outsourcing
Managing the Maintenance of Ported, Outsourced, and Legacy Software via Orthogonal Defect Classification (KB, PS), p. 726–?.
ICSMEICSM-2001-MohapatraM #case study #fault #predict
Defect Prevention through Defect Prediction: A Case Study at Infosys (SM, BM), pp. 260–272.
SEKESEKE-2001-HoudekSE #detection #empirical #execution #fault #specification
Defect Detection for Executable Specifications — An Experiment (FH, TS, DE), pp. 10–18.
TOOLSTOOLS-USA-2001-GueheneucA #constraints #design pattern #detection #fault #using
Using Design Patterns and Constraints to Automate the Detection and Correction of Inter-Class Design Defects (YGG, HAA), pp. 296–306.
RERE-2001-Feather #detection #fault #reduction #using
Risk Reduction Using DDP (Defect Detection and Prevention): Software Support and Software Applications (MSF), p. 288.
ICSEICSE-2001-BifflG #estimation #fault #modelling
Evaluating the Accuracy of Defect Estimation Models Based on Inspection Data from Two Inspection Cycles (SB, WG), pp. 145–154.
DACDAC-2000-AttarhaNL #fault #fuzzy #logic #modelling #simulation #using
Modeling and simulation of real defects using fuzzy logic (AA, MN, CL), pp. 631–636.
DATEDATE-2000-ParkK #bound #design #detection #fault
A New IEEE 1149.1 Boundary Scan Design for the Detection of Delay Defects (SP, TK), pp. 458–462.
DATEDATE-2000-SousaA #clustering #complexity #fault #modelling #using
Reducing the Complexity of Defect Level Modeling Using the Clustering Effect (JTdS, VDA), pp. 640–644.
DATEDATE-2000-WeilerMHH #detection #using
Detection of Defective Sensor Elements Using Sigma-Delta-Modulation and a Matched Filter (DW, OM, DH, BJH), pp. 599–603.
IWPCIWPC-2000-WohlinHO #approach #comprehension #fault
Understanding the Sources of Software Defects: A Filtering Approach (CW, MH, MCO), pp. 9–17.
ICPRICPR-v1-2000-Chetverikov #approach #fault
Structural Defects: General Approach and Application to Textile Inspection (DC), pp. 1521–1524.
ICPRICPR-v3-2000-HufnaglU #classification #fault #performance
Resolving a Defect in Quadrant-Based Classification for Fast Block-Matching (CH, AU), pp. 3203–3206.
ICPRICPR-v4-2000-Kauppinen #fault #recognition
A Two Stage Defect Recognition Method for Parquet Slab Grading (HK), pp. 4803–4806.
KDDKDD-2000-RaghavanBS #detection #predict #process #using
Defection detection: using activity profiles to predict ISP customer vulnerability (NR, RMB, MS), pp. 506–515.
ICSEICSE-2000-LeszakPS #analysis #case study #fault
A case study in root cause defect analysis (ML, DEP, DS), pp. 428–437.
DATEDATE-1999-SantosT #fault #simulation #using
Defect-Oriented Mixed-Level Fault Simulation of Digital Systems-on-a-Chip Using HDL (MBS, JPT), p. 549–?.
CSMRCSMR-1999-MonteiroGAAS #fault #intranet
A Software Defect Report and Tracking System in an Intranet (ASM, MG, FBeA, ABdA, PS), pp. 198–202.
DLTDLT-1999-KarhumakiM #fault #theorem
Defect theorems for trees (JK, SM), pp. 164–177.
OOPSLAOOPSLA-1999-TravassosSFB #design #detection #fault #object-oriented #quality #using
Detecting Defects in Object-Oriented Designs: Using Reading Techniques to Increase Software Quality (GT, FS, MF, VRB), pp. 47–56.
DATEDATE-1998-Rodriguez-MontanesF #estimation
Estimation of the Defective IDDQ Caused by Shorts in Deep-Submicron CMOS ICs (RRM, JF), pp. 490–494.
CSMRCSMR-1998-Leung #development #effectiveness #fault
Improving Defect Removal Effectiveness for Software Development (HKNL), pp. 157–164.
ICPRICPR-1998-HannuJ #automation #documentation #fault #image
An automated defect management for document images (HK, JJS), pp. 1288–1294.
ICPRICPR-1998-IivarinenV #adaptation #classification #fault
An adaptive texture and shape based defect classification (JI, AV), pp. 117–122.
ICPRICPR-1998-OzdemirBMEE #algorithm #analysis #comparative #evaluation #fault
Comparative evaluation of texture analysis algorithms for defect inspection of textile products (, AB, RM, AE, AE), pp. 1738–1740.
ICSEICSE-1998-WohlinR #bibliography #fault
Defect Content Estimations from Review Data (CW, PR), pp. 400–409.
ESECESEC-FSE-1997-LandSJ #design #detection #empirical #fault #performance #using #validation
Validating the Defect Detection Performance Advantage of Group Designs for Software Reviews: Report of a Laboratory Experiment Using Program Code (LPWL, CS, DRJ), pp. 294–309.
ESECESEC-FSE-1997-WoodRBM #detection #empirical #fault
Comparing and Combining Software Defect Detection Techniques: A Replicated Empirical Study (MW, MR, AB, JM), pp. 262–277.
ICPRICPR-1996-IivarinenRV #fault #segmentation
Unsupervised segmentation of surface defects (JI, JR, AV), pp. 356–360.
ICPRICPR-1996-KauppinenS #classification #fault
The effect of illumination variations on color-based wood defect classification (HK, OS), pp. 828–832.
ESECESEC-1995-KamstiesL #detection #empirical #evaluation
An Empirical Evaluation of Three Defect-Detection Techniques (EK, CML), pp. 362–383.
DATEEDAC-1994-Rodriguez-MontanesF #analysis #fault #testing
Analysis of Bridging Defects in Sequential CMOS Circuits and their Current Testability (RRM, JF), pp. 356–360.
DATEEDAC-1994-SousaGTW #fault #modelling
Fault Modeling and Defect Level Projections in Digital ICs (JTdS, FMG, JPT, TWW), pp. 436–442.
ICSEICSE-1994-PorterV #detection #empirical #fault #requirements
An Experiment to Assess Different Defect Detection Methods for Software Requirements Inspections (AAP, LGV), pp. 103–112.
ICDARICDAR-1993-Baird #documentation #fault #image #modelling
Document image defect models and their uses (HSB), pp. 62–67.
ICSEICSE-1993-Linger #re-engineering
Cleanroom Software Engineering for Zero-Defect Software (RCL), pp. 2–13.
OOPSLAOOPSLA-1992-Walsh #c++ #development #fault #scalability
Preliminary Defect Data from the Iterative Development of a Large C++ Program (JFW), pp. 178–183.
ICSEICSE-1991-ChillaregeKC #fault
Defect Type and Its Impact on the Growth Curve (RC, WlK, RGC), pp. 246–255.
DACDAC-1989-PitaksanonkulTLG #algorithm #named
DTR: A Defect-Tolerant Routing Algorithm (AP, ST, CL, JAG), pp. 795–798.
DACDAC-1988-WehnGCMR
A Defect-Tolerant and Fully Testable PLA (NW, MG, KC, PM, AR), pp. 22–33.
DACDAC-1985-Tendolkar #analysis #fault #random
Analysis of timing failures due to random AC defects in VLSI modules (NNT), pp. 709–714.
DACDAC-1976-Zobniw #multi #realtime #using
Multi-defect real time diagnosis using a single pin probe (LMZ), pp. 179–185.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.