BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
test (73)
base (41)
use (34)
document (27)
imag (24)

Stem scan$ (all stems)

265 papers:

DATEDATE-2015-LiDC #algorithm #clustering #power of
A scan partitioning algorithm for reducing capture power of delay-fault LBIST (NL, ED, GC), pp. 842–847.
DATEDATE-2015-TrinadhBSPK #approach #named #programming #testing
DP-fill: a dynamic programming approach to X-filling for minimizing peak test power in scan tests (ST, CSB, SGS, SP, VK), pp. 836–841.
SIGMODSIGMOD-2015-LiCP #encoding
A Padded Encoding Scheme to Accelerate Scans by Leveraging Skew (YL, CC, JMP), pp. 1509–1524.
VLDBVLDB-2015-PsaroudakisSMSA #adaptation #concurrent #in memory #scalability #towards
Scaling Up Concurrent Main-Memory Column-Store Scans: Towards Adaptive NUMA-aware Data and Task Placement (IP, TS, NM, AS, AA), pp. 1442–1453.
VLDBVLDB-2015-ShiokawaFO #algorithm #clustering #graph #performance #scalability
SCAN++: Efficient Algorithm for Finding Clusters, Hubs and Outliers on Large-scale Graphs (HS, YF, MO), pp. 1178–1189.
CHICHI-2015-HolzBK #identification #mobile #named #using
Bodyprint: Biometric User Identification on Mobile Devices Using the Capacitive Touchscreen to Scan Body Parts (CH, SB, MK), pp. 3011–3014.
CHICHI-2015-OhCKL #detection #documentation #named
ScanShot: Detecting Document Capture Moments and Correcting Device Orientation (JO, WC, JK, UL), pp. 953–956.
HCIDHM-EH-2015-MaruyamaKD #adaptation #scalability #simulation
MoCap-Based Adaptive Human-Like Walking Simulation in Laser-Scanned Large-Scale as-Built Environments (TM, SK, HD), pp. 193–204.
ECIRECIR-2015-FoleyA
Retrieving Time from Scanned Books (JF, JA), pp. 221–232.
CASECASE-2014-RenfrewHHC #automation #image #segmentation
Automated segmentation and characterization of ion-abrasion scanning electron microscopy fuel cell images (MR, NH, AH, MCC), pp. 56–60.
DATEDATE-2014-ImhofW #architecture #fault tolerance
Bit-Flipping Scan — A unified architecture for fault tolerance and offline test (MEI, HJW), pp. 1–6.
CSCWCSCW-2014-KnibbeOCMBEIF #3d
Quick and dirty: streamlined 3D scanning in archaeology (JK, KO, AC, MTM, PDB, GE, SI, MF), pp. 1366–1376.
ICEISICEIS-v1-2014-SilvaNMFMM #algorithm #detection #distributed #performance #pipes and filters #using
Efficient and Distributed DBScan Algorithm Using MapReduce to Detect Density Areas on Traffic Data (TLCdS, ACAN, RPM, VAEdF, JAFdM, JCM), pp. 52–59.
ICPRICPR-2014-AlbarelliCBT #3d #online
High-Coverage 3D Scanning through Online Structured Light Calibration (AA, LC, FB, AT), pp. 4080–4085.
ICPRICPR-2014-GuptaP #adaptation
Adaptive-Threshold Region Merging via Path Scanning (GG, AP), pp. 948–953.
ICPRICPR-2014-PedagadiOB #detection
Integral Line Scan Features for Pedestrian Detection (SP, JO, BAB), pp. 2383–2388.
KDDKDD-2014-ChenN #detection #graph #parametricity #social #social media #statistics
Non-parametric scan statistics for event detection and forecasting in heterogeneous social media graphs (FC, DBN), pp. 1166–1175.
CASECASE-2013-MarturiDP #image #performance #using
Fast image drift compensation in scanning electron microscope using image registration (NM, SD, NP), pp. 807–812.
DACDAC-2013-KunduCSK
An ATE assisted DFD technique for volume diagnosis of scan chains (SK, SC, IS, RK), p. 6.
DATEDATE-2013-SarrazinENBG #concurrent #design #detection #fault #performance
Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection (SS, SE, LAdBN, YB, VG), pp. 1077–1082.
ICDARICDAR-2013-KasarBACP #detection #documentation #image #learning #using
Learning to Detect Tables in Scanned Document Images Using Line Information (TK, PB, SA, CC, TP), pp. 1185–1189.
ICDARICDAR-2013-KieuJVMD #3d #documentation #generative #image #using
Semi-synthetic Document Image Generation Using Texture Mapping on Scanned 3D Document Shapes (VCK, NJ, MV, RM, JPD), pp. 489–493.
SIGMODSIGMOD-2013-LiP #in memory #memory management #named #performance
BitWeaving: fast scans for main memory data processing (YL, JMP), pp. 289–300.
HCIDHM-HB-2013-MaruyamaKD #3d #simulation
Simulating a Walk of Digital Human Model Directly in Massive 3D Laser-Scanned Point Cloud of Indoor Environments (TM, SK, HD), pp. 366–375.
SACSAC-2013-JancewiczKMRS #execution
Malicious takeover of voting systems: arbitrary code execution on optical scan voting terminals (RJJ, AK, LDM, AR, AAS), pp. 1816–1823.
ISMMISMM-2013-MorikawaUI #adaptation #garbage collection #lisp
Adaptive scanning reduces sweep time for the Lisp2 mark-compact garbage collector (KM, TU, HI), pp. 15–26.
PPoPPPPoPP-2013-YanLZ #algorithm #named #performance
StreamScan: fast scan algorithms for GPUs without global barrier synchronization (SY, GL, YZ), pp. 229–238.
DATEDATE-2012-ChandrasekarMSH #debugging #design #industrial
A scan pattern debugger for partial scan industrial designs (KC, SKM, SS, MSH), pp. 558–561.
DRRDRR-2012-EsserSMBS #approach #automation #documentation
Automatic indexing of scanned documents: a layout-based approach (DE, DS, KM, MB, AS).
VLDBVLDB-2012-SwitakowskiBZ #predict
From Cooperative Scans to Predictive Buffer Management (MS, PAB, MZ), pp. 1759–1770.
WCREWCRE-2012-MediniAGPT #approach #execution #named
SCAN: An Approach to Label and Relate Execution Trace Segments (SM, GA, YGG, MDP, PT), pp. 135–144.
PLDIPLDI-2012-Chen #revisited
Polyhedra scanning revisited (CC), pp. 499–508.
ICPRICPR-2012-KockelkornSGRJVRSG #classification #interactive #simulation
Interactive classification of lung tissue in CT scans by combining prior and interactively obtained training data: A simulation study (TTJPK, CIS, JCG, RR, PAdJ, MAV, JR, CSP, BvG), pp. 105–108.
ICPRICPR-2012-NurunnabiBW #3d #multi #robust #segmentation
Robust segmentation for multiple planar surface extraction in laser scanning 3D point cloud data (AN, DB, GAWW), pp. 1367–1370.
ICPRICPR-2012-YangLP #effectiveness
A simple and effective extrinsic calibration method of a camera and a single line scanning lidar (HY, XL, IP), pp. 1439–1442.
KDIRKDIR-2012-FengYS #documentation #identification #robust
Robust Template Identification of Scanned Documents (XF, AY, SS), pp. 103–110.
SIGIRSIGIR-2012-YalnizM
Finding translations in scanned book collections (IZY, RM), pp. 465–474.
CGOCGO-2012-ZouR #detection #parallel #source code
Scan detection and parallelization in “inherently sequential” nested loop programs (YZ, SVR), pp. 74–83.
CASECASE-2011-JasperF #detection #performance
Fast focus-based depth detection for manipulation in scanning electron microscopes (DJ, SF), pp. 375–380.
CASECASE-2011-MohanSBKE #3d #image #using
3D scanning of object surfaces using structured light and a single camera image (SM, KBS, IB, VK, RDE), pp. 151–156.
DACDAC-2011-ChenO #fault #statistics
Diagnosing scan clock delay faults through statistical timing pruning (MC, AO), pp. 423–428.
DATEDATE-2011-BangaRH #testing
Design-for-test methodology for non-scan at-speed testing (MB, NPR, MSH), pp. 191–196.
DATEDATE-2011-ChenO #analysis #fault #image #statistics
Diagnosing scan chain timing faults through statistical feature analysis of scan images (MC, AO), pp. 185–190.
DATEDATE-2011-EbrahimiMA #fault #named #safety
ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications (ME, SGM, HA), pp. 298–292.
DATEDATE-2011-MiyaseWAFYK #generative #testing
Transition-Time-Relation based capture-safety checking for at-speed scan test generation (KM, XW, MA, HF, YY, SK), pp. 895–898.
DATEDATE-2011-YangSSL #reduction #testing
A clock-gating based capture power droop reduction methodology for at-speed scan testing (BY, AS, SS, CL), pp. 197–203.
ICDARICDAR-2011-AlaeiPNK #documentation #estimation
A Painting Based Technique for Skew Estimation of Scanned Documents (AA, UP, PN, FK), pp. 299–303.
ICDARICDAR-2011-KluznerT #using
Page Curling Correction for Scanned Books Using Local Distortion Information (VK, AT), pp. 890–894.
ICDARICDAR-2011-LinsSS #automation
Automatically Discriminating between Digital and Scanned Photographs (RDL, GdFPeS, SJS), pp. 1280–1284.
ISMMISMM-2011-GarnerBF #evaluation
A comprehensive evaluation of object scanning techniques (RG, SMB, DF), pp. 33–42.
CASECASE-2010-JasperF #automation #performance
Automated high-speed nanopositioning inside scanning electron microscopes (DJ, SF), pp. 704–709.
CASECASE-2010-RuZSZSHC #automation #metric
Automated four-point probe measurement of nanowires inside a scanning electron microscope (CR, YZ, YS, YZ, XS, DH, IC), pp. 533–538.
DACDAC-2010-WohlWNG
Fully X-tolerant, very high scan compression (PW, JAW, FN, EG), pp. 362–367.
DATEDATE-2010-BalatsoukaTKC #fault #power management #testing
Defect aware X-filling for low-power scan testing (SB, VT, XK, KC), pp. 873–878.
DATEDATE-2010-ElmW #named #self
BISD: Scan-based Built-In self-diagnosis (ME, HJW), pp. 1243–1248.
DATEDATE-2010-YangAFK #design #power management #reliability
Scan based methodology for reliable state retention power gating designs (SY, BMAH, DF, SSK), pp. 69–74.
ICPRICPR-2010-ChenNW #estimation #multi #using
Actual Midline Estimation from Brain CT Scan Using Multiple Regions Shape Matching (WC, KN, KW), pp. 2552–2555.
ICPRICPR-2010-LiZ #using
One-Shot Scanning Using a Color Stripe Pattern (RL, HZ), pp. 1666–1669.
ICPRICPR-2010-ZhangXLWH #segmentation
Slap Fingerprint Segmentation for Live-Scan Devices and Ten-Print Cards (YLZ, GX, YML, HTW, YPH), pp. 1180–1183.
SACSAC-2010-LinsOTFT #algorithm #documentation
A dewarping algorithm to compensate volume binding distortion in scanned documents (RDL, DMdO, GT, JF, MT), pp. 61–62.
CGOCGO-2010-WimmerF #linear
Linear scan register allocation on SSA form (CW, MF), pp. 170–179.
DATEDATE-2009-ChandraKK #adaptation #scalability
Scalable Adaptive Scan (SAS) (AC, RK, YK), pp. 1476–1481.
DATEDATE-2009-HsiehLH #reduction
A physical-location-aware X-filling method for IR-drop reduction in at-speed scan test (WWH, ISL, TH), pp. 1234–1237.
DATEDATE-2009-LiuX09a #framework #reduction
A generic framework for scan capture power reduction in fixed-length symbol-based test compression environment (XL, QX), pp. 1494–1499.
DATEDATE-2009-TangGCR #generative #multi
Improving compressed test pattern generation for multiple scan chain failure diagnosis (XT, RG, WTC, SMR), pp. 1000–1005.
DATEDATE-2009-TzengH #named
QC-Fill: An X-Fill method for quick-and-cool scan test (CWT, SYH), pp. 1142–1147.
DocEngDocEng-2009-Brailsford #automation
Automated re-typesetting, indexing and contentenhancement for scanned marriage registers (DFB), pp. 29–38.
DRRDRR-2009-SmithNL #detection
Mark Detection from Scanned Ballots (EHBS, GN, DPL), pp. 1–10.
ICDARICDAR-2009-BalujaC #image
Finding Images and Line-Drawings in Document-Scanning Systems (SB, MC), pp. 1096–1100.
ICDARICDAR-2009-ElbaatiKEA #order
Temporal Order Recovery of the Scanned Handwriting (AE, MK, AE, AMA), pp. 1116–1120.
ICDARICDAR-2009-ImpedovoMFS #process #roadmap
New Trends in Digital Scanning Processes (SI, RM, AF, ES), pp. 1071–1075.
VLDBVLDB-2009-WillhalmPBPZS #in memory #named #performance #using
SIMD-Scan: Ultra Fast in-Memory Table Scan using on-Chip Vector Processing Units (TW, NP, YB, HP, AZ, JS), pp. 385–394.
CIAACIAA-J-2008-DixonES09 #analysis
Analysis of Bit-Split Languages for Packet Scanning and Experiments with Wildcard Matching (RD, ÖE, TS), pp. 597–612.
HCIDHM-2009-FaustC #3d
3D Body Scanning’s Contribution to the Use of Apparel as an Identity Construction Tool (MEF, SC), pp. 19–28.
HCIDHM-2009-GoonetillekeWZX #metric
The Pluses and Minuses of Obtaining Measurements from Digital Scans (RSG, CPW, JZ, SX), pp. 681–690.
HCIDHM-2009-SakellariouCWCA #approach #novel
A Novel Approach to CT Scans’ Interpretation via Incorporation into a VR Human Model (SS, VC, BMW, DC, PA), pp. 550–559.
HCIHCI-AUII-2009-JeongSGKJ #using
Object Scanning Using a Sensor Frame (SJ, TS, GG, KHK, JWJ), pp. 433–439.
KDDKDD-2009-ShiJ #linear #statistics
Anomalous window discovery through scan statistics for linear intersecting paths (SSLIP) (LS, VPJ), pp. 767–776.
SACSAC-2009-DavtyanKKMNRSSS
Taking total control of voting systems: firmware manipulations on an optical scan voting terminal (SD, SK, AK, LDM, NCN, AR, AS, NS, AAS), pp. 2049–2053.
CASECASE-2008-AhmadVB #design
Controller design for a closed-loop scanning tunneling microscope (IA, AV, GB), pp. 971–976.
DACDAC-2008-ElmWIZLM #clustering #reduction
Scan chain clustering for test power reduction (ME, HJW, MEI, CGZ, JL, NM), pp. 828–833.
DATEDATE-2008-ChandraNK #architecture #power management #reduction #testing
Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction (AC, FN, RK), pp. 462–467.
DATEDATE-2008-ElmW #embedded
Scan Chain Organization for Embedded Diagnosis (ME, HJW), pp. 468–473.
DATEDATE-2008-LiXHL #named #reduction #testing
iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing (JL, QX, YH, XL), pp. 1184–1189.
DATEDATE-2008-VersenSSD #analysis #locality
Test Instrumentation for a Laser Scanning Localization Technique for Analysis of High Speed DRAM devices (MV, AS, JS, DD), pp. 776–779.
VLDBVLDB-2008-AgrawalKO #scalability #scheduling
Scheduling shared scans of large data files (PA, DK, CO), pp. 958–969.
VLDBVLDB-2008-QiaoRRHL #in memory #manycore
Main-memory scan sharing for multi-core CPUs (LQ, VR, FR, PJH, GML), pp. 610–621.
CIAACIAA-2008-DixonES #analysis
Automata-Theoretic Analysis of Bit-Split Languages for Packet Scanning (RD, ÖE, TS), pp. 141–150.
IFLIFL-2008-Hinze #proving #theorem
Scans and Convolutions — A Calculational Proof of Moessner’s Theorem (RH), pp. 1–24.
CHICHI-2008-QuinnHARB #library #readability
Readability of scanned books in digital libraries (AJQ, CH, TA, AR, BBB), pp. 705–714.
CIKMCIKM-2008-LuoNEW #order #transaction
Transaction reordering with application to synchronized scans (GL, JFN, CJE, MW), pp. 1335–1336.
ICPRICPR-2008-WangYS #statistics
Matching colonic polyps from prone and supine CT colonography scans based on statistical curvature information (SW, JY, RMS), pp. 1–4.
SACSAC-2008-TakasuA #analysis #documentation #information management #layout #probability
Information extraction from scanned documents by stochastic page layout analysis (AT, KA), pp. 447–448.
DACDAC-2007-HuangTKC #analysis #case study #flexibility #reliability
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si: H TFT Scan Driver (TCH, HYT, CPK, KTC), pp. 966–969.
DACDAC-2007-ImhofZWML #reduction #testing
Scan Test Planning for Power Reduction (MEI, CGZ, HJW, NM, JL), pp. 521–526.
DACDAC-2007-WenMSKOS #effectiveness #reduction #testing
Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing (XW, KM, TS, SK, YO, KKS), pp. 527–532.
DATEDATE-2007-DevanathanRK #generative #interactive #testing
Interactive presentation: On power-profiling and pattern generation for power-safe scan tests (VRD, CPR, VK), pp. 534–539.
DATEDATE-2007-Huang #learning
Dynamic learning based scan chain diagnosis (YH0), pp. 510–515.
DATEDATE-2007-SinanogluS #modelling
Diagnosis, modeling and tolerance of scan chain hold-time violations (OS, PS), pp. 516–521.
DATEDATE-2007-WangCW #optimisation #scheduling #testing #using
SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test scheduling (ZW, KC, SW), pp. 201–206.
DocEngDocEng-2007-Matulic #documentation #interface #online
Touch scan-n-search: a touchscreen interface to retrieve online versions of scanned documents (FM), pp. 97–98.
ICDARICDAR-2007-HuangT #documentation
Locating Charts from Scanned Document Pages (WH, CLT), pp. 307–311.
ICDARICDAR-2007-MengZZS #documentation #image
Circular Noises Removal from Scanned Document Images (GM, NZ, YZ, YS), pp. 183–187.
ICDARICDAR-2007-PouderouxGPG #recognition
Toponym Recognition in Scanned Color Topographic Maps (JP, JCG, AP, PG), pp. 531–535.
SIGMODSIGMOD-2007-HollowayRSD #database #how
How to barter bits for chronons: compression and bandwidth trade offs for database scans (ALH, VR, GS, DJD), pp. 389–400.
VLDBVLDB-2007-LangBMW #multi
Increasing Buffer-Locality for Multiple Index Based Scans through Intelligent Placement and Index Scan Speed Control (CAL, BB, TM, KW), pp. 1298–1309.
VLDBVLDB-2007-ZukowskiHNB
Cooperative Scans: Dynamic Bandwidth Sharing in a DBMS (MZ, SH, NN, PAB), pp. 723–734.
HCIDHM-2007-ChoiNCC #3d #generative
A Method for Garment Pattern Generation by Flattening 3D Body Scan Data (YLC, YN, KMC, MHC), pp. 803–812.
HCIDHM-2007-YuWXM #automation
Automatic Joints Extraction of Scanned Human Body (YY, ZW, SX, TM), pp. 286–293.
HCIHCI-IPT-2007-HavukumpuHGVN #artificial reality
Comparing Two Head-Mounted Displays in Ultrasound Scanning (JH, JH, EG, PV, GN), pp. 597–604.
KDDKDD-2007-XuYFS #algorithm #clustering #named #network
SCAN: a structural clustering algorithm for networks (XX, NY, ZF, TAJS), pp. 824–833.
GPCEGPCE-2007-WykS #parsing
Context-aware scanning for parsing extensible languages (EVW, AS), pp. 63–72.
CCCC-2007-SarkarB #linear
Extended Linear Scan: An Alternate Foundation for Global Register Allocation (VS, RB), pp. 141–155.
CASECASE-2006-PotsaidWF #adaptation #automation
Automation of Challenging Spatial-Temporal Biomedical Observations with the Adaptive Scanning Optical Microscope (ASOM) (BP, JTW, FPF), pp. 39–44.
DATEDATE-2006-HelyBFR #design
A secure scan design methodology (DH, FB, MLF, BR), pp. 1177–1178.
DATEDATE-2006-HuangG #fault
Diagnosis of defects on scan enable and clock trees (YH, KG), pp. 436–437.
DATEDATE-2006-PolianF #constraints #functional #testing
Functional constraints vs. test compression in scan-based delay testing (IP, HF), pp. 1039–1044.
DATEDATE-2006-PomeranzR06a #fault
Test compaction for transition faults under transparent-scan (IP, SMR), pp. 1264–1269.
DATEDATE-2006-ZengI #concurrent #testing #using
Concurrent core test for SOC using shared test set and scan chain disable (GZ, HI), pp. 1045–1050.
DocEngDocEng-2006-TakasuA #documentation #information management #quality
Quality enhancement in information extraction from scanned documents (AT, KA), pp. 122–124.
CHICHI-2006-BurkeAI #fault
Error correction of voicemail transcripts in SCANMail (MB, BA, PLI), pp. 339–348.
CIKMCIKM-2006-BartoliniCP #named
SaLSa: computing the skyline without scanning the whole sky (IB, PC, MP), pp. 405–414.
ICPRICPR-v2-2006-ZhangN #multi #quantifier
Style Quantification of Scanned Multi-source Digits (XZ, GN), pp. 1018–10121.
ICPRICPR-v3-2006-El-BazFGFEE #automation #framework #segmentation
A Framework for Automatic Segmentation of Lung Nodules from Low Dose Chest CT Scans (AEB, AAF, GLG, RF, MAEG, TE), pp. 611–614.
ICPRICPR-v3-2006-TianK06a #algorithm #distance #performance #using
An Efficient Algorithm for Point Matching Using Hilbert Scanning Distance (LT, SiK), pp. 873–876.
ICPRICPR-v4-2006-LuC #3d
Accurate 3D Scanning of Swaying Human Body Parts by One Projection Based on OIMP Technique (CL, GC), pp. 1–4.
KDDKDD-2006-AgarwalMPVZ #approximate #performance #statistics
Spatial scan statistics: approximations and performance study (DA, AM, JMP, SV, ZZ), pp. 24–33.
PPoPPPPoPP-2006-DeitzCCS #abstraction #reduction
Global-view abstractions for user-defined reductions and scans (SJD, DC, BLC, LS), pp. 40–47.
DACDAC-2005-Pomeranz #detection
N-detection under transparent-scan (IP), pp. 129–134.
DACDAC-2005-YangWK #architecture
Secure scan: a design-for-test architecture for crypto chips (BY, KW, RK), pp. 135–140.
DATEDATE-2005-PomeranzR05a #detection #fault #heuristic
The Accidental Detection Index as a Fault Ordering Heuristic for Full-Scan Circuits (IP, SMR), pp. 1008–1013.
DATEDATE-2005-SharifiJHAN #reduction
Simultaneous Reduction of Dynamic and Static Power in Scan Structures (SS, JJ, MH, AAK, ZN), pp. 846–851.
ICDARICDAR-2005-LucasC #grid #performance
Fast Convolutional OCR with the Scanning N-Tuple Grid (SML, KTC), pp. 799–805.
ICDARICDAR-2005-ParkJ #documentation
Intelligent Document Scanning with Active Camera (AP, KJ), pp. 991–995.
ICDARICDAR-2005-YuanT #documentation #estimation
Skew Estimation for Scanned Documents from “Noises” (BY, CLT), pp. 277–281.
ICEISICEIS-v5-2005-JaklicV #algorithm #documentation #image #preprocessor
Two Simple Algorithms for Document Image Preprocessing — Making a Document Scanning Application More User-Friendly (AJ, BV), pp. 116–121.
SACSAC-2005-JanejaA #detection #linear #named #semantics #statistics
LS3: a Linear Semantic Scan Statistic technique for detecting anomalous windows (VPJ, VA), pp. 493–497.
HPDCHPDC-2005-KonishiOHI #case study #throughput
A case study of instant workbench for InterProScan by Knoppix high throughput computing edition (FK, SO, YH, MI), pp. 301–302.
DACDAC-2004-Pomeranz #functional #generative #on the #testing
On the generation of scan-based test sets with reachable states for testing under functional operation conditions (IP), pp. 928–933.
DACDAC-2004-Pomeranz04a
Scan-BIST based on transition probabilities (IP), pp. 940–943.
DATEDATE-v1-2004-BonhommeGGLPV #design #power management
Design of Routing-Constrained Low Power Scan Chains (YB, PG, LG, CL, SP, AV), pp. 62–67.
DATEDATE-v1-2004-EfthymiouSE #automation #generative
Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits (AE, CPS, DAE), pp. 672–673.
DATEDATE-v1-2004-SinanogluO #power management
Scan Power Minimization through Stimulus and Response Transformations (OS, AO), pp. 404–409.
DATEDATE-v1-2004-WolffPM #hardware
Test Compression and Hardware Decompression for Scan-Based SoCs (FGW, CAP, DRM), pp. 716–717.
DATEDATE-v2-2004-ArslanO #architecture #named #reduction
CircularScan: A Scan Architecture for Test Cost Reduction (BA, AO), pp. 1290–1295.
DATEDATE-v2-2004-HuangCHTHH #analysis #fault #probability
Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis (YH, WTC, CJH, HYT, AH, YTH), pp. 1072–1077.
DATEDATE-v2-2004-LeiningerGM #configuration management
Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Code (AL, MG, PM), pp. 1302–1309.
DATEDATE-v2-2004-WangLC #fault #hardware #hybrid #testing
Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets (SW, XL, STC), pp. 1296–1301.
DRRDRR-2004-MaD #documentation #identification #image #word
Word level script identification for scanned document images (HM, DSD), pp. 124–135.
DRRDRR-2004-Nishida #adaptation #analysis #documentation #image #multi
Adaptive inverse halftoning for scanned document images through multiresolution and multiscale analysis (HN), pp. 192–203.
ICPRICPR-v1-2004-GarainCC #documentation #embedded #identification
Identification of Embedded Mathematical Expressions in Scanned Documents (UG, BBC, ARC), pp. 384–387.
ICPRICPR-v1-2004-NaroditskyD #3d #using
3D Scanning Using Spatiotemporal Orientation (ON, KD), pp. 5–9.
ICPRICPR-v2-2004-HuR #classification #clustering #probability #using
Probability Table Compression Using Distributional Clustering for Scanning N-Tuple Classifiers (JH, ER), pp. 533–536.
ICPRICPR-v3-2004-LucasH #recognition #sequence
Sequence Recognition with Scanning N-Tuple Ensembles (SML, TKH), pp. 410–413.
ICPRICPR-v3-2004-MiyoshiLKYN #automation #geometry
Automatic Extraction of Buildings Utilizing Geometric Features of a Scanned Topographic Map (TM, WL, KK, HY, EN), pp. 626–629.
DACDAC-2003-LiYRP #generative #markov #using
A scan BIST generation method using a markov source and partial bit-fixing (WL, CY, SMR, IP), pp. 554–559.
DACDAC-2003-XiangGSW #architecture #effectiveness #testing
A cost-effective scan architecture for scan testing with non-scan test power and test application cost (DX, SG, JGS, YLW), pp. 744–747.
DATEDATE-2003-LiuC #approach #fault #identification
A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis (CL, KC), pp. 10230–10237.
DATEDATE-2003-OhKWS #architecture #feedback #using
Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture (NO, RK, TWW, JS), pp. 10110–10115.
DATEDATE-2003-PolianBR #markov #optimisation #pseudo #random
Evolutionary Optimization of Markov Sources for Pseudo Random Scan BIST (IP, BB, SMR), pp. 11184–11185.
DATEDATE-2003-PomeranzR #approach #generative #testing
A New Approach to Test Generation and Test Compaction for Scan Circuits (IP, SMR), pp. 11000–11005.
DATEDATE-2003-RaoO #design
Virtual Compression through Test Vector Stitching for Scan Based Designs (WR, AO), pp. 10104–10109.
DocEngDocEng-2003-GarainDMC #pattern matching
Compression of scan-digitized Indian language printed text: a soft pattern matching technique (UG, SD, AM, BBC), pp. 185–192.
DocEngDocEng-2003-SimskeA #analysis #image
User-directed analysis of scanned images (SJS, JA), pp. 212–221.
ICDARICDAR-2003-MaD #classification #documentation #image #multi
Gabor Filter Based Multi-class Classifier for Scanned Document Images (HM, DSD), pp. 968–972.
ICDARICDAR-2003-NishidaS #approach #documentation #image #multi
A Multiscale Approach to Restoring Scanned Color Document Images with Show-Through Effects (HN, TS), pp. 584–588.
ICDARICDAR-2003-ToshihiroM #detection #documentation #image #matrix #segmentation
Detection of Matrices and Segmentation of Matrix Elements in Scanned Images of Scientific Documents (KT, SM), pp. 433–437.
ICEISICEIS-v1-2003-WangH #database #scalability
Scanning a Large Database Once to Mine Association Rules (FW, NH), pp. 421–429.
DACDAC-2002-ChandraC #reduction #testing #using
Reduction of SOC test data volume, scan power and testing time using alternating run-length codes (AC, KC), pp. 673–678.
DATEDATE-2002-BayraktarogluO #fault
Gate Level Fault Diagnosis in Scan-Based BIST (IB, AO), pp. 376–381.
DATEDATE-2002-LiuCG #identification
An Interval-Based Diagnosis Scheme for Identifying Failing Vectors in a Scan-BIST Environment (CL, KC, MG), pp. 382–386.
DATEDATE-2002-PandeyP #algorithm #architecture #design #generative #incremental #testing
An Incremental Algorithm for Test Generation in Illinois Scan Architecture Based Designs (ARP, JHP), pp. 368–375.
VLDBVLDB-2002-Mohan02a #performance #using
An Efficient Method for Performing Record Deletions and Updates Using Index Scans (CM), pp. 940–949.
CHICHI-2002-WhittakerHASBISZR #interface #named #speech
SCANMail: a voicemail interface that makes speech browsable, readable and searchable (SW, JH, BA, LAS, MB, PLI, LS, GZ, AER), pp. 275–282.
ICPRICPR-v2-2002-RonnebergerBS #3d #classification #invariant #recognition #set #using
General-Purpose Object Recognition in 3D Volume Data Sets Using Gray-Scale Invariants --- Classification of Airborne Pollen-Grains Recorded with a Confocal Laser Scanning Microscope (OR, HB, ES), p. 290–?.
ICPRICPR-v3-2002-IpFC #3d #image #re-engineering
Three-Dimensional Enhancement of Confocal Scanning Laser Fluorescence Microscope Images for Vascular Reconstruction (HHSI, JJF, SHC), pp. 863–866.
ICPRICPR-v3-2002-ValvenyL #automation #documentation #generative #named
Scan-to-XML: Automatic Generation of Browsable Technical Documents (EV, BL), p. 188–?.
ICPRICPR-v4-2002-JhanwarCSZ #image #retrieval #using
Content Based Image Retrieval Using Optimum Peano Scan (NJ, SC, GS, BZ), pp. 130–133.
PADLPADL-2002-JohanssonS #compilation #erlang #linear
Linear Scan Register Allocation in a High-Performance Erlang Compiler (EJ, KFS), pp. 101–119.
CCCC-2002-MossenbockP #constraints #linear
Linear Scan Register Allocation in the Context of SSA Form and Register Constraints (HM, MP), pp. 229–246.
DACDAC-2001-BayraktarogluO #reduction
Test Volume and Application Time Reduction Through Scan Chain Concealment (IB, AO), pp. 151–155.
DACDAC-2001-ChandraC #power management #testing
Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip (AC, KC), pp. 166–169.
DACDAC-2001-Pomeranz #random #testing
Random Limited-Scan to Improve Random Pattern Testing of Scan Circuits (IP), pp. 145–150.
DACDAC-2001-PomeranzR #approach #testing
An Approach to Test Compaction for Scan Circuits that Enhances At-Speed Testing (IP, SMR), pp. 156–161.
DACDAC-2001-WangC #generative #performance #testing
Generating Efficient Tests for Continuous Scan (SJW, SNC), pp. 162–165.
DACDAC-2001-YangPT #bound
Improving Bus Test Via IDDT and Boundary Scan (SYY, CAP, MTA), pp. 307–312.
DATEDATE-2001-BayraktarogluO
Diagnosis for scan-based BIST: reaching deep into the signatures (IB, AO), pp. 102–111.
DATEDATE-2001-ChandraC #performance #testing #using
Efficient test data compression and decompression for system-on-a-chip using internal scan chains and Golomb coding (AC, KC), pp. 145–149.
ICDARICDAR-2001-Ratzlaff #classification #online #recognition
A Scanning n-tuple Classifier for Online Recognition of Handwritten Digits (EHR), pp. 18–22.
ICDARICDAR-2001-Smith #estimation #parametricity #using
Scanner Parameter Estimation Using Bilevel Scans of Star Charts (EHBS), pp. 1164–1168.
CCCC-2001-Siebert #garbage collection
Constant-Time Root Scanning for Deterministic Garbage Collection (FS), pp. 304–318.
DACDAC-2000-BayraktarogluO #fault
Improved fault diagnosis in scan-based BIST via superposition (IB, AO), pp. 55–58.
DATEDATE-2000-NicoliciA #clustering #multi #power management
Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits (NN, BMAH), pp. 715–722.
DATEDATE-2000-ParkK #bound #design #detection #fault
A New IEEE 1149.1 Boundary Scan Design for the Detection of Delay Defects (SP, TK), pp. 458–462.
DATEDATE-2000-PomeranzR00a #functional #generative #testing
Functional Test Generation for Full Scan Circuits (IP, SMR), pp. 396–401.
ICPRICPR-v2-2000-SuzukiHS #component #performance
Fast Connected-Component Labeling Based on Sequential Local Operations in the Course of Forward Raster Scan Followed by Backward Raster Scan (KS, IH, NS), pp. 2434–2437.
ICPRICPR-v2-2000-Tambouratzis #classification
Improving the Classification Accuracy of the Scanning n-tuple Method (GT), pp. 6046–6049.
ICPRICPR-v3-2000-Biswas #image
Hilbert Scan and Image Compression (SB), pp. 3211–3214.
ICPRICPR-v3-2000-Kiema #automation #classification #data fusion #using
Wavelet Compression and Data Fusion: An Investigation into the Automatic Classification of Urban Environments using Color Photography and Laser Scanning Data (JBKK), pp. 3089–3093.
ICPRICPR-v3-2000-KwanCG #approach #automation #bound #using
Automatic Extraction of Bone Boundaries from CT Scans Using an Intelligence-Based Approach (FYK, KCC, IG), pp. 3441–3444.
DACDAC-1999-TsaiCB #quality #using
Improving the Test Quality for Scan-Based BIST Using a General Test Application Scheme (HCT, KTC, SB), pp. 748–753.
DATEDATE-1999-LinPR #fault
Full Scan Fault Coverage With Partial Scan (XL, IP, SMR), pp. 468–472.
DATEDATE-1999-ShinKK #bound #multi #testing
At-Speed Boundary-Scan Interconnect Testing in a Board with Multiple System Clocks (JS, HK, SK), p. 473–?.
ICDARICDAR-1999-HaffnerBHL #documentation #internet #named
DjVu: Analyzing and Compressing Scanned Documents for Internet Distribution (PH, LB, PGH, YL), pp. 625–628.
ICDARICDAR-1999-KatoY #image #multi #order
Recovery of Drawing Order from Scanned Images of Multi-Stroke Handwriting (YK, MY), pp. 261–264.
HCIHCI-CCAD-1999-ZajicekPR #evaluation #interface #visual notation #web
Evaluation of a world wide web scanning interface for blind and visually impaired users (MZ, CP, CR), pp. 980–984.
MLDMMLDM-1999-ReczkoKMGO #estimation #image #network
Neural Networks in MR Image Estimation from Sparsely Sampled Scans (MR, DAK, VM, DGD, DvO), pp. 75–86.
SIGIRSIGIR-1999-WhittakerHCHPS #design #named #retrieval #speech #user interface
SCAN: Designing and Evaluating User Interfaces to Support Retrieval From Speech Archives (SW, JH, JC, DH, FCNP, AS), pp. 26–33.
SACSAC-1999-Jenq #parallel
Parallel Polygon Scan Conversion on Hypercube Multiprocessors (JJFJ), pp. 110–114.
DATEDATE-1998-ChangCML #functional #testing
Functional Scan Chain Testing (DC, KTC, MMS, MTCL), pp. 278–283.
DATEDATE-1998-CornoPRV
Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection (FC, PP, MSR, MV), pp. 670–677.
DATEDATE-1998-FlottesPRV #effectiveness #performance
Scanning Datapaths: A Fast and Effective Partial Scan Selection Technique (MLF, RP, BR, LV), pp. 921–922.
PLDIPLDI-1998-TraubHS #quality
Quality and Speed in Linear-scan Register Allocation (OT, GHH, MDS), pp. 142–151.
ICPRICPR-1998-KamataNB #image #using
Color image compression using a Hilbert scan (SiK, TNN, YB), pp. 1575–1578.
ISMMISMM-1998-AzaguryKPY #how #set
Combining Card Marking with Remembered Sets: How to Save Scanning Time (AA, EKK, EP, ZY), pp. 10–19.
DACDAC-1997-RainaBNMB #design #performance #testing
Efficient Testing of Clock Regenerator Circuits in Scan Designs (RR, RB, CN, RFM, CB), pp. 95–100.
DACDAC-1997-TsaiCLB #algorithm #hybrid
A Hybrid Algorithm for Test Point Selection for Scan-Based BIST (HCT, KTC, CJL, SB), pp. 478–483.
DACDAC-1997-TsaiHRM #generative #named #random
STARBIST: Scan Autocorrelated Random Pattern Generation (KHT, SH, JR, MMS), pp. 472–477.
DACDAC-1997-WangG #testing
ATPG for Heat Dissipation Minimization During Scan Testing (SW, SKG), pp. 614–619.
DATEEDTC-1997-ChakrabortyM #bound #functional #parallel #programmable #testing
A programmable boundary scan technique for board-level, parallel functional duplex march testing of word-oriented multiport static RAMs (KC, PM), pp. 330–334.
DATEEDTC-1997-HigamiK #design #parallel
Design of partially parallel scan chain (YH, KK), p. 626.
DATEEDTC-1997-Kristof #architecture #bound #effectiveness #idea #self #testing
Extension of the boundary-scan architecture and new idea of BIST for more effective testing and self-testing of interconnections (AK), p. 630.
HCIHCI-CC-1997-SavidisVS #library
Embedding Scanning Techniques Accessible to Motor-Impaired Users in the WINDOWS Object Library (AS, GV, CS), pp. 429–432.
DACDAC-1996-ChenLH #layout
Layout Driven Selecting and Chaining of Partial Scan (CSC, KHL, TH), pp. 262–267.
DACDAC-1996-LinMCL #logic
Test Point Insertion: Scan Paths through Combinational Logic (CCL, MMS, KTC, MTCL), pp. 268–273.
DACDAC-1996-XiangVFP #design
Partial Scan Design Based on Circuit State Information (DX, SV, WKF, JHP), pp. 807–812.
ICPRICPR-1996-KamataNK #image #using
A gray image compression using a Hilbert scan (SiK, MN, EK), pp. 905–909.
ICPRICPR-1996-KanungoH #approach #automation #documentation #generative
Automatic generation of character groundtruth for scanned documents: a closed-loop approach (TK, RMH), pp. 669–675.
CADECADE-1996-Ohlbach #named #quantifier
SCAN — Elimination of Predicate Quantifiers (HJO), pp. 161–165.
DACDAC-1995-PanL
Partial Scan with Pre-selected Scan Signals (PP, CLL), pp. 189–194.
ICDARICDAR-v1-1995-MaderlechnerM #information management
Conversion of high level information from scanned maps into geographic information systems (GM, HM), pp. 253–256.
ICDARICDAR-v2-1995-PriviteraP #word
A system for scanning and segmenting cursively handwritten words into basic strokes (CMP, RP), pp. 1047–1050.
DLTDLT-1995-Galvez #lr #parsing #stack
A Practical Small LR Parser with Action Decision Through Minimal Stack Suffix Scanning (JFG), pp. 460–465.
DACDAC-1994-AsharM #low cost #set
Implicit Computation of Minimum-Cost Feedback-Vertex Sets for Partial Scan and Other Applications (PA, SM), pp. 77–80.
DACDAC-1994-ChakradharBA #algorithm
An Exact Algorithm for Selecting Partial Scan Flip-Flops (STC, AB, VDA), pp. 81–86.
DACDAC-1994-ChakradharD
Resynthesis and Retiming for Optimum Partial Scan (STC, SD), pp. 87–93.
DATEEDAC-1994-IsernF #fault
Test of Bridging Faults in Scan-based Sequential Circuits (EI, JF), pp. 366–370.
DATEEDAC-1994-KarkkainenTW #bound #monitoring #power management #testing
Boundary Scan Testing Combined with Power Supply Current Monitoring (MK, KT, MW), pp. 232–235.
DATEEDAC-1994-KunzmannB #fault
Gate-Delay Fault Test with Conventional Scan-Design (AK, FB), pp. 524–528.
DATEEDAC-1994-Su #bound #random testing #testing
Random Testing of Interconnects in A Boundary Scan Environment (CS), pp. 226–231.
SIGMODSIGMOD-1994-SwamiS #finite
Estimating Page Fetches for Index Scans with Finite LRU Buffers (ANS, KBS), pp. 173–184.
PLDIPLDI-1994-FisherG #reduction
Parallelizing Complex Scans and Reductions (ALF, AMG), pp. 135–146.
DACDAC-1993-ChickermaneRBP
Non-Scan Design-for-Testability Techniques for Sequential Circuits (VC, EMR, PB, JHP), pp. 236–241.
DACDAC-1993-GanapathyA #pseudo
Selective Pseudo Scan: Combinational ATPG with Reduced Scan in a Full Custom RISC Microprocessor (GG, JAA), pp. 550–555.
DACDAC-1993-KagarisT
Partial Scan with Retiming (DK, ST), pp. 249–254.
DACDAC-1993-LeeJW #behaviour #synthesis
Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments (TCL, NKJ, WW), pp. 292–297.
DACDAC-1993-ParikhA #approach #cost analysis
A Cost-Based Approach to Partial Scan (PSP, MA), pp. 255–259.
ICDARICDAR-1993-ChenWB #detection #image #keyword #markov #modelling #using
Detecting and locating partially specified keywords in scanned images using hidden Markov models (FC, LW, DSB), pp. 133–138.
DACDAC-1992-BhattacharyaAA #fault #generative #testing #using
Delay Fault Test Generation for Scan/Hold Circuits Using Boolean Expressions (DB, PA, VDA), pp. 159–164.
CHICHI-1992-ResnickV #interface
Skip and scan: cleaning up telephone interface (PR, RAV), pp. 419–426.
DACDAC-1991-ChakrabortyBBL #testing #using
Enhanced Controllability for IDDQ Test Sets Using Partial Scan (TJC, SB, RB, CJL), pp. 278–281.
DACDAC-1991-ChengDK #design #generative #robust #standard #synthesis #testing
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology (KTC, SD, KK), pp. 80–86.
DACDAC-1991-MaoC #design #fault
Correlation-Reduced Scan-path Design To Improve Delay Fault Coverage (WM, MDC), pp. 73–79.
PPoPPPPoPP-1991-AncourtI
Scanning Polyhedra with DO Loops (CA, FI), pp. 39–50.
DACDAC-1989-DervisogluK #debugging #named #state of the art #tool support
ATLAS/ELA: Scan-based Software Tools for Reducing System Debug Time in a State-of-the-art Workstation (BID, MAK), pp. 718–721.
DACDAC-1989-OgiharaMYM #effectiveness #generative #named #reliability #testing
MULTES/IS: An Effective and Reliable Test Generation System for Partial Scan and Non-Scan Synchronous Circuits (TO, KM, GY, SM), pp. 519–524.
CHICHI-1989-Hendrickson #design #interface #performance #visual notation
Performance, preference, and visual scan patterns on a menu-based system: implications for interface design (JJH), pp. 217–222.
DACDAC-1987-Kaplan #approach
Routing with a Scanning Window-8Ma Unified Approach (DK), pp. 629–632.
SIGIRSIGIR-1986-IngwersenW #information retrieval #online
Improved Subject Access, Browsing and Scanning Mechanisms in Modern Online IR (PI, IW), pp. 68–76.
DACDAC-1984-ChapmanC #approach #case study #design #experience
The scan line approach to design rules checking: Computational experiences (PTC, KCJ), pp. 235–241.
SIGIRSIGIR-1984-KraftB #behaviour #information retrieval #roadmap
Advances in a Bayesian Decision Model of User Stopping Behaviour for Scanning the Output of an Information Retrieval System (DHK, DAB), pp. 421–433.
DACDAC-1983-OgiharaMTKF #bidirectional #design #generative #testing
Test generation for scan design circuits with tri-state modules and bidirectional terminals (TO, SM, YT, KK, HF), pp. 71–78.
DACDAC-1978-WilcoxRC #design #verification
Design rule verification based on one dimensional scans (PSW, HR, DMC), pp. 285–289.
VLDBVLDB-1977-Harder #database #relational
A Scan-Driven Sort Facility for a Relational Database System (TH), pp. 236–244.
DACDAC-1968-Rader #equation #logic #syntax
Application of a syntax driver to logic equation processing and data-control card scanning (JAR).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.