BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
EDIT!
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Travelled to:
10 × France
10 × Germany
24 × USA
Collaborated with:
Y.Wang N.Chang Q.Wu M.J.Dousti R.Marculescu D.Marculescu H.Jung P.Rong Q.Xie S.Nazarian A.Iranli Q.Qiu X.Lin M.Kamal A.Afzali-Kusha F.Fallah A.Abdollahi K.Choi C.Hsieh J.Oh S.Iman H.Fatemi E.Pakbaznia D.Shin C.Tsui B.Amelifard D.Zhu S.Hatami J.Chang A.M.Despain H.Vaishnav Y.Kim J.Lou M.Ghasemazar W.Cheng K.Chaudhary L.Chen A.Shafaei M.Saeedi R.Soma A.H.Salek S.Yue S.Abbaspour S.Chen T.M.Pinkston S.K.Gupta E.Macii P.Rezvani S.T.Ou N.B.Bhat A.H.Ajami C.Ding J.Kim S.Park A.Petraglia W.Lee W.Lee Y.Hou J.Henkel K.Kim Y.Aghaghiri L.Chen F.Somenzi I.Pyo S.Liu Y.Lai S.B.K.Vrudhula B.Preas D.Curry A.Iranfar Y.Gao A.Ghasemazar V.Akhlaghi S.Safari A.C.Ammari P.Feldmann M.A.Breuer K.Banerjee L.P.P.P.v.Ginneken G.Mehta F.Rastgar J.Li H.Abrishami J.Qin J.Frößl E.Tuncer T.Lin K.Chan T.Cui Q.Zhu D.Baek K.Kim M.Poncino J.Seo D.Friebel R.C.Aitken A.Domic R.Zafalon Ruizhe Cai Ao Ren Ning Liu 0007 Caiwen Ding Luhao Wang X.Qian
Talks about:
power (46) system (22) optim (18) dynam (16) use (14) manag (13) energi (12) base (12) awar (12) design (11)

Person: Massoud Pedram

DBLP DBLP: Pedram:Massoud

Contributed to:

DAC 20152015
DATE 20152015
HPCA 20152015
DAC 20142014
DATE 20142014
DAC 20132013
DATE 20132013
DAC 20122012
DATE 20122012
DAC 20112011
DATE 20112011
DATE 20102010
DATE 20092009
DAC 20082008
DATE 20082008
DAC 20072007
DATE 20072007
DAC 20062006
DATE 20062006
DATE Designers’ Forum 20062006
DAC 20052005
DATE 20052005
DAC 20042004
DATE v1 20042004
DATE v2 20042004
DAC 20032003
DATE 20032003
DATE 20022002
DAC 20012001
DATE 20012001
DAC 20002000
DATE 20002000
DAC 19991999
DATE 19991999
DAC 19981998
DATE 19981998
DAC 19971997
DAC 19961996
DAC 19951995
DAC 19941994
DAC 19931993
DAC 19921992
DAC 19911991
DAC 19891989
ASPLOS 20182018

Wrote 115 papers:

DAC-2015-CuiWCZNP #energy #grid #smarttech
Optimal control of PEVs for energy cost minimization and frequency regulation in the smart grid accounting for battery state-of-health degradation (TC, YW, SC, QZ, SN, MP), p. 6.
DAC-2015-WangLPC #automation #hybrid
Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehicles (YW, XL, MP, NC), p. 6.
DATE-2015-DoustiP #distributed #power management
Power-efficient control of thermoelectric coolers considering distributed hot spots (MJD, MP), pp. 966–971.
DATE-2015-DoustiPP #generative #modelling
Accurate electrothermal modeling of thermoelectric generators (MJD, AP, MP), pp. 1603–1606.
DATE-2015-KamalIAP #algorithm
A thermal stress-aware algorithm for power and temperature management of MPSoCs (MK, AI, AAK, MP), pp. 954–959.
DATE-2015-LiXWNP #fine-grained #multi #power management #reduction #using
Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique (JL, QX, YW, SN, MP), pp. 1579–1582.
DATE-2015-LinWPKC #configuration management
Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles (XL, YW, MP, JK, NC), pp. 19–24.
DATE-2015-XieKBWPC #design #energy #hybrid #optimisation
Efficiency-driven design time optimization of a hybrid energy storage system with networked charge transfer interconnect (QX, YK, DB, YW, MP, NC), pp. 1607–1610.
DATE-2015-ZhuCPP #manycore #named
TAPP: temperature-aware application mapping for NoC-based many-core processors (DZ, LC, TMP, MP), pp. 1241–1244.
HPCA-2015-ChenZPP #towards
Power punch: Towards non-blocking power-gating of NoC routers (LC, DZ, MP, TMP), pp. 378–389.
DAC-2014-DoustiP #deployment #power management
Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers (MJD, MP), p. 6.
DATE-2014-ChenWP #capacity #concurrent #distributed #framework
Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure (SC, YW, MP), pp. 1–6.
DATE-2014-GaoGWP #energy #fault #fault tolerance #framework #in the cloud #scheduling
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems (YG, SKG, YW, MP), pp. 1–6.
DATE-2014-KamalGAP #approximate #performance #using
Improving efficiency of extensible processors by using approximate custom instructions (MK, AG, AAK, MP), pp. 1–4.
DATE-2014-KimSXWPC #android #fine-grained #monitoring #named #smarttech
FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring (KK, DS, QX, YW, MP, NC), pp. 1–6.
DATE-2014-LeeWP #configuration management #framework #manycore #named
VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform (WL, YW, MP), pp. 1–6.
DATE-2014-WangLXCP #energy #hybrid
Minimizing state-of-health degradation in hybrid electrical energy storage systems with arbitrary source and load profiles (YW, XL, QX, NC, MP), pp. 1–4.
DATE-2014-ZhuCYP
Application mapping for express channel-based networks-on-chip (DZ, LC, SY, MP), pp. 1–6.
DATE-2014-ZhuWCP #design #energy
Optimal design and management of a smart residential PV and energy storage system (DZ, YW, NC, MP), pp. 1–6.
DAC-2013-DoustiP #algorithm #estimation #latency #named #quantum
LEQA: latency estimation for a quantum algorithm mapped to a quantum circuit fabric (MJD, MP), p. 7.
DAC-2013-ShafaeiSP #architecture #distance #interactive #linear #nearest neighbour #optimisation #quantum
Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures (AS, MS, MP), p. 6.
DATE-2013-AkhlaghiKAP #architecture #network #performance
An efficient network on-chip architecture based on isolating local and non-local communications (VA, MK, AAK, MP), pp. 350–353.
DATE-2013-Pedram #communication #framework
Creating a sustainable information and communication infrastructure (MP), p. 2.
DATE-2013-ShafaeiSP #logic #synthesis
Reversible logic synthesis of k-input, m-output lookup tables (AS, MS, MP), pp. 1235–1240.
DATE-2013-WangLPKC #architecture #configuration management #cost analysis #design #optimisation
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system (YW, XL, MP, JK, NC), pp. 909–912.
DATE-2013-WangLPPC #energy #hybrid
Optimal control of a grid-connected hybrid electrical energy storage system for homes (YW, XL, MP, SP, NC), pp. 881–886.
DATE-2013-XieYPSC #adaptation
Adaptive thermal management for portable system batteries by forced convection cooling (QX, SY, MP, DS, NC), pp. 1225–1228.
DAC-2012-KimPCXWP #architecture #energy #hybrid
Networked architecture for hybrid electrical energy storage systems (YK, SP, NC, QX, YW, MP), pp. 522–528.
DAC-2012-LinWYSCP #configuration management
Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects (XL, YW, SY, DS, NC, MP), pp. 516–521.
DATE-2012-DoustiP #latency #quantum
Minimizing the latency of quantum circuits during mapping to the ion-trap circuit fabric (MJD, MP), pp. 840–843.
DATE-2012-KamalASP #approach #architecture #process
An architecture-level approach for mitigating the impact of process variations on extensible processors (MK, AAK, SS, MP), pp. 467–472.
DATE-2012-WangXPKCP #energy #hybrid #migration #multi
Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems (YW, QX, MP, YK, NC, MP), pp. 169–174.
DATE-2012-XieLWPSC #energy #health #hybrid
State of health aware charge management in hybrid electrical energy storage systems (QX, XL, YW, MP, DS, NC), pp. 1060–1065.
DAC-2011-AbrishamiLQFP #optimisation #power management
Post sign-off leakage power optimization (HA, JL, JQ, JF, MP), pp. 453–458.
DAC-2011-ShinKCP #scalability
Dynamic voltage scaling of OLED displays (DS, YK, NC, MP), pp. 53–58.
DAC-2011-WangXAP #classification #learning #policy #power management #using
Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification (YW, QX, ACA, MP), pp. 41–46.
DATE-2011-GhasemazarP #architecture #multi #power management
Variation aware dynamic power management for chip multiprocessor architectures (MG, MP), pp. 473–478.
DATE-2011-KamalAP
Timing variation-aware custom instruction extension technique (MK, AAK, MP), pp. 1517–1520.
DATE-2011-ShinKSCWP #hybrid
Battery-supercapacitor hybrid system for high-rate pulsed load applications (DS, YK, JS, NC, YW, MP), pp. 875–878.
DATE-2010-HatamiP #analysis #component #library #performance #representation #robust #using
Efficient representation, stratification, and compression of variational CSM library waveforms using Robust Principle Component Analysis (SH, MP), pp. 1285–1290.
DATE-2010-JungP #network #nondeterminism #optimisation #power management
Optimizing the power delivery network in dynamically voltage scaled systems with uncertain power mode transition times (HJ, MP), pp. 351–356.
DATE-2010-PakbazniaGP #power management #resource management
Temperature-aware dynamic resource provisioning in a power-optimized datacenter (EP, MG, MP), pp. 124–129.
DATE-2009-HatamiFAP #library #performance
Efficient compression and handling of current source model library waveforms (SH, PF, SA, MP), pp. 1178–1183.
DAC-2008-JungRP #manycore #modelling #probability
Stochastic modeling of a thermally-managed multi-core system (HJ, PR, MP), pp. 728–733.
DATE-2008-AmelifardHFP #logic #multi #stack
A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect (BA, SH, HF, MP), pp. 568–573.
DATE-2008-JungP #nondeterminism #power management
Resilient Dynamic Power Management under Uncertainty (HJ, MP), pp. 224–229.
DATE-2008-PakbazniaP #using
Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting (EP, MP), pp. 385–390.
DAC-2007-AmelifardP #network #power management
Optimal Selection of Voltage Regulator Modules in a Power Delivery Network (BA, MP), pp. 168–173.
DATE-2007-JungP #nondeterminism #power management
Dynamic power management under uncertain information (HJ, MP), pp. 1060–1065.
DAC-2006-FatemiNP #analysis #logic #statistics #using
Statistical logic cell delay analysis using a current-based model (HF, SN, MP), pp. 253–256.
DAC-2006-IranliLP #mobile #power management
Backlight dimming in power-aware mobile displays (AI, WL, MP), pp. 604–607.
DAC-2006-PakbazniaFP #analysis #concept
Charge recycling in MTCMOS circuits: concept and analysis (EP, FF, MP), pp. 97–102.
DATE-2006-AbbaspourFP #analysis #statistics
Non-gaussian statistical interconnect timing analysis (SA, HF, MP), pp. 533–538.
DATE-2006-AbdollahiP #analysis #diagrams #quantum #synthesis #using
Analysis and synthesis of quantum circuits by using quantum decision diagrams (AA, MP), pp. 317–322.
DATE-2006-AmelifardFP #using
Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment (BA, FF, MP), pp. 995–1000.
DATE-2006-MaciiPFADZ #design #matter #power management #question #tool support
Low-power design tools: are EDA vendors taking this matter seriously? (EM, MP, DF, RCA, AD, RZ), p. 1227.
DATE-2006-NazarianP #analysis
Cell delay analysis based on rate-of-current change (SN, MP), pp. 539–544.
DATE-2006-RongP #algorithm #formal method #markov #online #process
Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms (PR, MP), pp. 1128–1133.
DATE-DF-2006-NazarianPGB #named #set #statistics
STAX: statistical crosstalk target set compaction (SN, MP, SKG, MAB), pp. 172–177.
DAC-2005-AbdollahiFP #effectiveness
An effective power mode transition technique in MTCMOS circuits (AA, FF, MP), pp. 37–42.
DAC-2005-AbdollahiP #canonical #logic #performance #synthesis #verification
A new canonical form for fast boolean matching in logic synthesis and verification (AA, MP), pp. 379–384.
DAC-2005-IranliP #named #scalability
DTM: dynamic tone mapping for backlight scaling (AI, MP), pp. 612–617.
DATE-2005-IranliFP #named #scalability
HEBS: Histogram Equalization for Backlight Scaling (AI, HF, MP), pp. 346–351.
DATE-2005-NazarianPTLA #analysis #modelling
Modeling and Propagation of Noisy Waveforms in Static Timing Analysis (SN, MP, ET, TL, AHA), pp. 776–777.
DAC-2004-ChoiSP #scalability
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding (KC, RS, MP), pp. 544–549.
DATE-v1-2004-ChengHP #concurrent #power management #scalability
Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling (WCC, YH, MP), pp. 252–259.
DATE-v1-2004-ChoiSP #energy #fine-grained #performance #precise #scalability #trade-off
Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times (KC, RS, MP), pp. 4–9.
DATE-v1-2004-IranliCP #approach #energy #game studies #streaming #video
A Game Theoretic Approach to Low Energy Wireless Video Streaming (AI, KC, MP), pp. 696–697.
DATE-v2-2004-MarculescuPH #design #distributed #multi #perspective
Distributed Multimedia System Design: A Holistic Perspective (RM, MP, JH), pp. 1342–1349.
DAC-2003-ChoiKP #energy #streaming
Energy-aware MPEG-4 FGS streaming (KC, KK, MP), pp. 912–915.
DAC-2003-RongP #approach #markov #mobile #network
Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach (PR, MP), pp. 906–911.
DATE-2003-ChengP #encoding #interface #power management #visual notation
Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface (WCC, MP), pp. 10694–10699.
DATE-2003-RongP #capacity #predict
An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries (PR, MP), pp. 11148–11149.
DATE-2002-AghaghiriPF #encoding #multi #power management
EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses (YA, MP, FF), p. 1102.
DATE-2002-RezvaniP #concurrent #logic
Concurrent and Selective Logic Extraction with Timing Consideration (PR, MP), p. 1086.
DAC-2001-AjamiBPG #analysis #performance
Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs (AHA, KB, MP, LPPPvG), pp. 567–572.
DAC-2001-QiuWP #mobile #multi #power management
Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service (QQ, QW, MP), pp. 834–839.
DATE-2001-HsiehCP #analysis #simulation
Microprocessor power analysis by labeled simulation (CTH, LC, MP), pp. 182–189.
DAC-2000-OuP #clustering
Timing-driven placement based on partitioning with dynamic cut-net control (SLTO, MP), pp. 472–476.
DAC-2000-QiuWP #petri net #power management #probability #using
Dynamic power management of complex systems using generalized stochastic Petri nets (QQ, QW, MP), pp. 352–356.
DATE-2000-HsiehP #architecture #optimisation
Architectural Power Optimization by Bus Splitting (CTH, MP), pp. 612–616.
DAC-1999-PedramW #design
Design Considerations for Battery-Powered Electronics (MP, QW), pp. 861–866.
DAC-1999-QiuP #markov #power management #process
Dynamic Power Management Based on Continuous-Time Markov Decision Processes (QQ, MP), pp. 555–561.
DAC-1999-SalekLP #generative #independence #named #using
MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search (AHS, JL, MP), pp. 472–478.
DATE-1999-ChangP #co-evolution #communication #design #named #programming #using
Codex-dp: Co-design of Communicating Systems Using Dynamic Programming (JMC, MP), p. 568–?.
DATE-1999-PedramW #design
Battery-Powered Digital CMOS Design (MP, QW), pp. 72–76.
DAC-1998-OhP #design #multi #network
Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce (JO, MP), pp. 287–290.
DAC-1998-QiuWP #estimation #order #statistics #using
Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics (QQ, QW, MP), pp. 684–689.
DAC-1998-SalekLP #design
A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together (AHS, JL, MP), pp. 128–134.
DATE-1998-MarculescuMP #estimation #probability
Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation (DM, RM, MP), pp. 774–779.
DATE-1998-OhP
Gated Clock Routing Minimizing the Switched Capacitance (JO, MP), pp. 692–697.
DAC-1997-DingWHP #cumulative #estimation #statistics
Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits (CSD, QW, CTH, MP), pp. 371–376.
DAC-1997-HsiehPMR #evaluation #synthesis
Profile-Driven Program Synthesis for Evaluation of System Power Dissipation (CTH, MP, GM, FR), pp. 576–581.
DAC-1997-MaciiPS #estimation #modelling #optimisation
High-Level Power Modeling, Estimation, and Optimization (EM, MP, FS), pp. 504–511.
DAC-1997-MarculescuMP #analysis #finite #probability #sequence #state machine
Sequence Compaction for Probabilistic Analysis of Finite-State Machines (DM, RM, MP), pp. 12–15.
DAC-1997-MarculescuMP97a #estimation #sequence
Hierarchical Sequence Compaction for Power Estimation (RM, DM, MP), pp. 570–575.
DAC-1997-TsuiCWDP #design #estimation #framework #power management #video
A Power Estimation Framework for Designing Low Power Portable Video Applications (CYT, KKC, QW, CSD, MP), pp. 421–424.
DAC-1996-ImanP #named #optimisation #synthesis
POSE: Power Optimization and Synthesis Environment (SI, MP), pp. 21–26.
DAC-1996-MarculescuMP #generative #probability #sequence #synthesis
Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation (DM, RM, MP), pp. 696–701.
DAC-1996-OhPP #bound #linear #programming #using
Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming (JO, IP, MP), pp. 401–404.
DAC-1996-TsuiMMP #performance
Improving the Efficiency of Power Simulators by Input Vector Compaction (CYT, RM, DM, MP), pp. 165–168.
DAC-1995-ChangP #power management
Register Allocation and Binding for Low Power (JMC, MP), pp. 29–35.
DAC-1995-ImanP #logic #power management
Logic Extraction and Factorization for Low Power (SI, MP), pp. 248–253.
DAC-1995-LiuPD #performance #scalability
A Fast State Assignment Procedure for Large FSMs (SL, MP, AMD), pp. 327–332.
DAC-1995-MarculescuMP #correlation #estimation #performance
Efficient Power Estimation for Highly Correlated Input Streams (RM, DM, MP), pp. 628–634.
DAC-1995-VaishnavP #logic
Minimizing the Routing Cost During Logic Extraction (HV, MP), pp. 70–75.
DAC-1994-ImanPC #fuzzy #logic #using
Technology Mapping Using Fuzzy Logic (SI, MP, KC), pp. 333–338.
DAC-1994-TsuiPD #approximate
Exact and Approximate Methods for Calculating Signal and Transition Probabilities in FSMs (CYT, MP, AMD), pp. 18–23.
DAC-1993-LaiPV #composition #logic #synthesis
BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis (YTL, MP, SBKV), pp. 642–647.
DAC-1993-TsuiPD #composition #power management
Technology Decomposition and Mapping Targeting Low Power Dissipation (CYT, MP, AMD), pp. 68–73.
DAC-1993-VaishnavP #optimisation
Routability-Driven Fanout Optimization (HV, MP), pp. 230–235.
DAC-1992-ChaudharyP #algorithm #constraints
A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints (KC, MP), pp. 492–498.
DAC-1991-PedramB #layout
Layout Driven Technology Mapping (MP, NBB), pp. 99–105.
DAC-1989-PreasPC #automation #hybrid #layout
Automatic Layout of Silicon-on-Silicon Hybrid Packages (BP, MP, DC), pp. 394–399.
ASPLOS-2018-CaiRLDWQPW #hardware #named #network
VIBNN: Hardware Acceleration of Bayesian Neural Networks (RC, AR, NL0, CD, LW, XQ, MP, YW), pp. 476–488.

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.