BibSLEIGH
BibSLEIGH corpus
BibSLEIGH tags
BibSLEIGH bundles
BibSLEIGH people
CC-BY
Open Knowledge
XHTML 1.0 W3C Rec
CSS 2.1 W3C CanRec
email twitter
Used together with:
model (746)
softwar (513)
system (478)
busi (477)
base (470)

Stem process$ (all stems)

4358 papers:

CBSECBSE-2015-PlateniusSA #framework #named #process
MatchBox: A Framework for Dynamic Configuration of Service Matching Processes (MCP, WS, SA), pp. 75–84.
CASECASE-2015-AlhwarinFGKST #image
Improving additive manufacturing by image processing and robotic milling (FA, AF, AG, SK, IS, OT), pp. 924–929.
CASECASE-2015-BoH #clustering #fault #process
Qualitative trend clustering of process data for fault diagnosis (ZB, YH), pp. 1584–1588.
CASECASE-2015-ChenY #monitoring #process
Heterogeneous recurrence T2 charts for monitoring and control of nonlinear dynamic processes (YC, HY), pp. 1066–1071.
CASECASE-2015-ChouTHC #adaptation #algorithm #design #parametricity #process
Adaptive neuro-fuzzy inference system with evolutionary algorithm for designing process parameters of color filter (PYC, JTT, WHH, JHC), pp. 1555–1556.
CASECASE-2015-FerrerALVLH #approach #assembly #automation #process
An approach for knowledge-driven product, process and resource mappings for assembly automation (BRF, BA, AL, DAV, JLML, RH), pp. 1104–1109.
CASECASE-2015-ForsmanR #process
Chemical process control practice — Some examples and observations (KF, JR), pp. 892–893.
CASECASE-2015-HolmOFUHAK #evolution #industrial #integration #performance #process
Engineering method for the integration of modules into fast evolving production systems in the process industry (TH, MO, AF, LU, UH, TA, SK), pp. 1042–1047.
CASECASE-2015-LiuZ #adaptation #human-computer #modelling #predict #process
Adaptive predictive ANFIS based human arm movement modeling and control in machine-human cooperative GTAW process (YL, YZ), pp. 1465–1470.
CASECASE-2015-MicioFMBL #automation #mining #process #towards
RTLS-based Process Mining: Towards an automatic process diagnosis in healthcare (RM, FF, GM, PB, ML), pp. 1397–1402.
CASECASE-2015-MoalDC #bibliography #case study #monitoring #on the #process
On the feasibility of a monitoring system for the friction stir welding process: Literature review and experimental study (GLM, FD, DC), pp. 1576–1583.
CASECASE-2015-PanZQ #clustering #how #process #tool support
How to start-up dual-arm cluster tools involving a wafer revisiting process (CP, MZ, YQ), pp. 1194–1199.
CASECASE-2015-ProdelAXJL #database #integer #linear #mining #process #programming #using
Discovery of patient pathways from a national hospital database using process mining and integer linear programming (MP, VA, XX, BJ, LL), pp. 1409–1414.
CASECASE-2015-ReisgenLMBW #modelling #process #quality
Process control of gas metal arc welding processes by optical weld pool observation with combined quality models (UR, PL, SM, GB, KW), pp. 407–410.
CASECASE-2015-ShiLGS #problem
Production planning for a class of batch processing problem (ZS, PL, HG, LS), pp. 1188–1193.
CASECASE-2015-SongLBKNSSC #cyber-physical #industrial #integration #modelling #process
Model-based cyber-physical system integration in the process industry (ZS, PL, RB, WK, SN, SS, LS, AC), pp. 1012–1017.
CASECASE-2015-SterlingSZC #algorithm #optimisation #parametricity #process
Welding parameter optimization based on Gaussian process regression Bayesian optimization algorithm (DS, TS, YZ, HC), pp. 1490–1496.
CASECASE-2015-XieZLSD #agile #analysis #approach #multi #process
Analysis of multi-patient rapid response processes: An iterative approach (XX, ZZ, JL, CHS, PD), pp. 740–745.
CASECASE-2015-ZafarKSMJA #health #monitoring #process #using
Tool health monitoring for wood milling process using airborne acoustic emission (TZ, KK, ZS, SM, AJ, UA), pp. 1521–1526.
DACDAC-2015-ZaheerWGL #markov #named #performance #process
mTunes: efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process (MZ, FW, CG, XL), p. 6.
DATEDATE-2015-0001CY #approach #optimisation #process #robust
A robust approach for process variation aware mask optimization (JK, WKC, EFYY), pp. 1591–1594.
DATEDATE-2015-MotamanGR #adaptation #robust
Impact of process-variations in STTRAM and adaptive boosting for robustness (SM, SG, NR), pp. 1431–1436.
DATEDATE-2015-ParkAHYL #big data #energy #gpu #low cost #memory management #performance
Memory fast-forward: a low cost special function unit to enhance energy efficiency in GPU for big data processing (EP, JA, SH, SY, SL), pp. 1341–1346.
DATEDATE-2015-ParkTNII #performance
Platform-aware dynamic configuration support for efficient text processing on heterogeneous system (MSP, OT, VN, MJI, RI), pp. 1503–1508.
DATEDATE-2015-ShonikerCHP #design #process #simulation #verification
Minimizing the number of process corner simulations during design verification (MS, BFC, JH, WP), pp. 289–292.
DATEDATE-2015-WangWXWWYDLMW #adaptation #process
Adaptively tolerate power-gating-induced power/ground noise under process variations (ZW, XW, JX, XW, ZW, PY, LHKD, HL, RKVM, ZW), pp. 483–488.
DRRDRR-2015-FengPL #process #recognition
Gaussian process style transfer mapping for historical Chinese character recognition (JF, LP, FL).
SIGMODSIGMOD-2015-ArmbrustXLHLBMK #relational #sql
Spark SQL: Relational Data Processing in Spark (MA, RSX, CL, YH, DL, JKB, XM, TK, MJF, AG, MZ), pp. 1383–1394.
SIGMODSIGMOD-2015-BabarinsaI #database #named
JAFAR: Near-Data Processing for Databases (OOB, SI), pp. 2069–2070.
SIGMODSIGMOD-2015-BarthelsLAK #in memory #using
Rack-Scale In-Memory Join Processing using RDMA (CB, SL, GA, DK), pp. 1463–1475.
SIGMODSIGMOD-2015-BraunEGKKWAILL #database #performance #realtime
Analytics in Motion: High Performance Event-Processing AND Real-Time Analytics in the Same Database (LB, TE, GG, MK, DK, DW, AA, AI, EL, NL), pp. 251–264.
SIGMODSIGMOD-2015-Camacho-Rodriguez #named #parallel #xml
PAXQuery: Parallel Analytical XML Processing (JCR, DC, IM, JAMN), pp. 1117–1122.
SIGMODSIGMOD-2015-DeshpandeRDA #approach #named
ShareInsights: An Unified Approach to Full-stack Data Processing (MD, DR, SD, AA), pp. 1925–1940.
SIGMODSIGMOD-2015-FengLKX #in memory #layout #memory management #named
ByteSlice: Pushing the Envelop of Main Memory Data Processing with a New Storage Layout (ZF, EL, BK, WX), pp. 31–46.
SIGMODSIGMOD-2015-HangKD #data access #named #query
ENKI: Access Control for Encrypted Query Processing (IH, FK, ED), pp. 183–196.
SIGMODSIGMOD-2015-KatsipoulakisTG #data type #named
CE-Storm: Confidential Elastic Processing of Data Streams (NRK, CT, EAG, AL, AJL, PKC), pp. 859–864.
SIGMODSIGMOD-2015-KulkarniBFKKMPR #scalability #twitter
Twitter Heron: Stream Processing at Scale (SK, NB, MF, VK, CK, SM, JMP, KR, ST), pp. 239–250.
SIGMODSIGMOD-2015-LinOWY #distributed #scalability
Scalable Distributed Stream Join Processing (QL, BCO, ZW, CY), pp. 811–825.
SIGMODSIGMOD-2015-MytilinisGKDTTG #distributed #framework #named #network #social
MoDisSENSE: A Distributed Spatio-Temporal and Textual Processing Platform for Social Networking Services (IM, IG, IK, KD, DT, MT, LG, NK), pp. 895–900.
SIGMODSIGMOD-2015-SahaSSVMC #framework #modelling
Apache Tez: A Unifying Framework for Modeling and Building Data Processing Applications (BS, HS, SS, GV, ACM, CC), pp. 1357–1369.
SIGMODSIGMOD-2015-SalamaBKZ #cost analysis #fault tolerance #parallel
Cost-based Fault-tolerance for Parallel Data Processing (AS, CB, TK, EZ), pp. 285–297.
SIGMODSIGMOD-2015-WongHFXL #approach #as a service #database #named #parallel #using
Thrifty: Offering Parallel Database as a Service using the Shared-Process Approach (PW, ZH, ZF, WX, EL), pp. 1063–1068.
VLDBVLDB-2015-AkidauBCCFLMMPS #approach #bound #correctness #data flow #latency
The Dataflow Model: A Practical Approach to Balancing Correctness, Latency, and Cost in Massive-Scale, Unbounded, Out-of-Order Data Processing (TA, RB, CC, SC, RFM, RL, SM, DM, FP, ES, SW), pp. 1792–1803.
VLDBVLDB-2015-ChingEKLM #graph
One Trillion Edges: Graph Processing at Facebook-Scale (AC, SE, MK, DL, SM), pp. 1804–1815.
VLDBVLDB-2015-HaasAGM #crowdsourcing #named
Argonaut: Macrotask Crowdsourcing for Complex Data Processing (DH, JA, LG, AM), pp. 1642–1653.
VLDBVLDB-2015-HanD #execution #graph #parallel
Giraph Unchained: Barrierless Asynchronous Parallel Execution in Pregel-like Graph Processing Systems (MH, KD), pp. 950–961.
VLDBVLDB-2015-HeWKCLYL #named #query
SDB: A Secure Query Processing System with Data Interoperability (ZH, WKW, BK, DWLC, RL, SMY, EL), pp. 1876–1887.
VLDBVLDB-2015-KatsarouNT #performance #query #scalability
Performance and Scalability of Indexed Subgraph Query Processing Methods (FK, NN, PT), pp. 1566–1577.
VLDBVLDB-2015-KimSHHC #morphism #query #rdf
Taming Subgraph Isomorphism for RDF Query Processing (JK, HS, WSH, SH, HC), pp. 1238–1249.
VLDBVLDB-2015-LarsonBHHNP #realtime #sql
Real-Time Analytical Processing with SQL Server (PÅL, AB, ENH, WH, MN, VP), pp. 1740–1751.
VLDBVLDB-2015-LeisKK0 #performance #query #sql
Efficient Processing of Window Functions in Analytical SQL Queries (VL, KK, AK, TN), pp. 1058–1069.
VLDBVLDB-2015-MahmoodAQRDMAHA #distributed #named
Tornado: A Distributed Spatio-Textual Stream Processing System (ARM, AMA, TQ, EKR, AD, AM, ASA, MSH, WGA, SB), pp. 2020–2031.
VLDBVLDB-2015-MeehanTZACDKMMP #named #streaming #transaction
S-Store: Streaming Meets Transaction Processing (JM, NT, SZ, CA, , JD, TK, SM, DM, AP, MS, KT, HW), pp. 2134–2145.
VLDBVLDB-2015-NagarkarCB #query
Compressed Spatial Hierarchical Bitmap (cSHB) Indexes for Efficiently Processing Spatial Range Query Workloads (PN, KSC, AB), pp. 1382–1393.
VLDBVLDB-2015-ParkMS #pipes and filters #probability #query #using
Processing of Probabilistic Skyline Queries Using MapReduce (YP, JKM, KS), pp. 1406–1417.
VLDBVLDB-2015-PottiP #approximate #named #paradigm #query
DAQ: A New Paradigm for Approximate Query Processing (NP, JMP), pp. 898–909.
VLDBVLDB-2015-YangCLW #analysis #nearest neighbour #query
Reverse k Nearest Neighbors Query Processing: Experiments and Analysis (SY, MAC, XL, WW), pp. 605–616.
ITiCSEITiCSE-2015-KirkpatrickP #assurance #operating system #process #using
Using the Readiness Assurance Process and Metacognition in an Operating Systems Course (MSK, SP), pp. 183–188.
FoSSaCSFoSSaCS-2015-GeblerLT #calculus #composition #metric #probability #process #reasoning
Compositional Metric Reasoning with Probabilistic Process Calculi (DG, KGL, ST), pp. 230–245.
TACASTACAS-2015-NamjoshiT #analysis #network #process
Analysis of Dynamic Process Networks (KSN, RJT), pp. 164–178.
TACASTACAS-2015-SoudjaniGA #abstraction #probability #process
FAUST 2 : Formal Abstractions of Uncountable-STate STochastic Processes (SEZS, CG, AA), pp. 272–286.
MSRMSR-2015-GuptaSPA #bibliography #challenge #identification #process #scalability
Identifying Software Process Management Challenges: Survey of Practitioners in a Large Global IT Company (MG, AS, SP, AMA), pp. 346–356.
SANERSANER-2015-Jiang #integration #process #scalability
Improving the integration process of large software systems (YJ), p. 598.
ICALPICALP-v2-2015-EtessamiSY #branch #equation #fixpoint #markov #polynomial #probability #process #reachability
Greatest Fixed Points of Probabilistic Min/Max Polynomial Equations, and Reachability for Branching Markov Decision Processes (KE, AS, MY), pp. 184–196.
FMFM-2015-DeboisHS #composition #information management #liveness #process #refinement #runtime #safety
Safety, Liveness and Run-Time Refinement for Modular Process-Aware Information Systems with Dynamic Sub Processes (SD, TTH, TS), pp. 143–160.
ICGTICGT-2015-KwantesGKR #modelling #process #towards #verification
Towards Compliance Verification Between Global and Local Process Models (PMK, PVG, JK, AR), pp. 221–236.
CHICHI-2015-BorstTR #problem #what
What Makes Interruptions Disruptive?: A Process-Model Account of the Effects of the Problem State Bottleneck on Task Interruption and Resumption (JPB, NAT, HvR), pp. 2971–2980.
CHICHI-2015-DamianTBSLA #behaviour #feedback #interactive #realtime #social #using
Augmenting Social Interactions: Realtime Behavioural Feedback using Social Signal Processing Techniques (ID, CSST, TB, JS, KL, EA), pp. 565–574.
CHICHI-2015-LugerURG #design #game studies #process #using
Playing the Legal Card: Using Ideation Cards to Raise Data Protection Issues within the Design Process (EL, LU, TR, MG), pp. 457–466.
CHICHI-2015-MitraHG #quality
Comparing Person- and Process-centric Strategies for Obtaining Quality Data on Amazon Mechanical Turk (TM, CJH, EG), pp. 1345–1354.
CHICHI-2015-RaganGT #how #memory management #process #visual notation
Evaluating How Level of Detail of Visual History Affects Process Memory (EDR, JRG, AT), pp. 2711–2720.
CHICHI-2015-VigoJS #authoring #concept #ontology #process
Constructing Conceptual Knowledge Artefacts: Activity Patterns in the Ontology Authoring Process (MV, CJ, RS), pp. 3385–3394.
CSCWCSCW-2015-JiaWXRC #behaviour #learning #online #privacy #process
Risk-taking as a Learning Process for Shaping Teen’s Online Information Privacy Behaviors (HJ, PJW, HX, MBR, JMC), pp. 583–599.
CSCWCSCW-2015-XuRDB #design #feedback #process #using
A Classroom Study of Using Crowd Feedback in the Iterative Design Process (AX, HR, SPD, BPB), pp. 1637–1648.
HCIDHM-EH-2015-KollingKHC #design #evaluation #feedback #interactive #process #using
Estimating Ergonomic Comfort During the Process of Mechanism Design by Interaction with a Haptic Feedback-System — Evaluation of Simulated and Kinesthetically Displayed Mechanisms Using the Haptic Feedback System RePlaLink (TK, MK, MH, BC), pp. 62–73.
HCIDHM-EH-2015-OkaTGYO #metric #process
Electromyography Measurement of Workers at the Second Lining Pounding Process for Hanging Scrolls (YO, YT, AG, HY, KO), pp. 205–215.
HCIDHM-EH-2015-UchidaHKEMOUG #analysis #process #using
Process Analysis of the Hand Lay-Up Method Using CFRP Prepreg Sheets (TU, HH, KK, AE, MM, JO, TU, AG), pp. 227–236.
HCIDHM-HM-2015-ChottikamponMMM #process
Improvement of Needle Bar in Textile Machine by Hitting Process (KC, SM, HM, RM, HN, HH), pp. 235–244.
HCIDHM-HM-2015-EndoYASSH #difference #process
Effect of Skill Level Difference in the Polishing Process of the Maki-e Making Technique (AE, HY, CA, TS, YS, HH), pp. 24–34.
HCIDHM-HM-2015-KitajimaKMMMH #analysis #comprehension #process
Process Analysis of Manufacturing of Sewing Scissors by All Forging Process and Understanding of Its Sharpness (YK, KK, MM, KM, YM, HH), pp. 124–132.
HCIDHM-HM-2015-KurataniHHKUGH #analysis #comparison #process
Expert vs. Elementary Skill Comparison and Process Analysis in VaRTM-Manufactured Carbon Fiber Reinforced Composites (YK, KH, TH, TK, TU, AG, HH), pp. 133–142.
HCIDHM-HM-2015-OkaTGOO #process #research
Researching Sounds Generated During the Second Lining Pounding Process (YO, YT, AG, KO, KO), pp. 154–164.
HCIDHM-HM-2015-SendaSKST #analysis #process
Process Analysis of Kyo Karakami Manufacturing (SS, ES, TK, MS, YT), pp. 368–378.
HCIDHM-HM-2015-SudaTHYGH #analysis #process
Process Analysis of Expert and Non-expert Engineers in Quartz Glass Joint Process (MS, TT, AH, YY, AG, HH), pp. 389–398.
HCIDHM-HM-2015-SugimotoINYG #difference #process
Difference in Polishing Process of FRP Between Expert and Non-expert (TS, DI, HN, YY, AG), pp. 174–181.
HCIDHM-HM-2015-SugimotoTNG #comparison #eye tracking #process
Comparison of Eye Movement During the Polishing Process of Metallographic Sample Between Expert and Nonexpert (TS, YT, HN, AG), pp. 399–410.
HCIDHM-HM-2015-WangTTTGH #analysis #process
Motion Analysis of Interval Time During “Kana-ami” Making Process (ZW, KiT, TT, YT, AG, HH), pp. 201–211.
HCIDHM-HM-2015-WangTTTGH15a #analysis #process
Brain Activity Analysis on “Kana-Ami” Making Process (ZW, KiT, TT, YT, AG, HH), pp. 212–219.
HCIDUXU-DD-2015-CyprianoP #design #interactive #process #prototype #testing
Prototyping and Testing Throughout all the Design Process as a Methodology for Developing Interaction Design Projects (LC, MP), pp. 157–166.
HCIDUXU-IXD-2015-CandelloBC #design #network #process #social
Design Process of a Social Network System for Storage and Share Files in the Workplace (HC, SB, LC), pp. 13–24.
HCIDUXU-UI-2015-DaggeFR #analysis #comparative #named #process
HARSim: Posterior Load Comparative Analysis Process (RD, EF, FR), pp. 34–44.
HCIDUXU-UI-2015-InoueYHS #design #interface #process
Applying Human Centered Design Process for Designing Air Traffic Control Interfaces (SI, KY, HH, TS), pp. 307–316.
HCIDUXU-UI-2015-SoutoFS #approach #comprehension #design #process #towards #women
The Creative Process in Digital Design: Towards an Understanding of Women’s Approach (VTS, PCLAF, FAdS), pp. 252–263.
HCIDUXU-UI-2015-WollnerLC #design #framework #modelling #process #user interface
Integrating a Cognitive Modelling Framework into the Design Process of Touchscreen User Interfaces (PKAW, PML, PJC), pp. 473–484.
HCIHCI-DE-2015-AbibA #design #guidelines #interactive #process #social
Guidelines to Integrate Professional, Personal and Social Context in Interaction Design Process: Studies in Healthcare Environment (JCA, JCA), pp. 119–131.
HCIHCI-DE-2015-Bakke #approach #process
An Activity Theory Approach to Intuitiveness: From Artefact to Process (SB), pp. 3–13.
HCIHCI-DE-2015-BorzaM #assurance #design #process #usability
Incorporating Marketing Strategies to Improve Usability Assurance in User-Centered Design Processes (ICB, JAM), pp. 152–162.
HCIHCI-UC-2015-LawsonSW #industrial #process
VR Processes in the Automotive Industry (GL, DS, BW), pp. 208–217.
HCIHIMI-IKC-2015-MayerBPGV #automation #generative #process #using #visualisation
Automatic Generation of Integrated Process Data Visualizations Using Human Knowledge (FM, UB, DP, DG, BVH), pp. 488–498.
HCIHIMI-IKC-2015-TakedaHKN #lifecycle #modelling #process
Modelling of a Business Process for Alarm Management Lifecycle in Chemical Industries (KT, TH, NK, MN), pp. 579–587.
HCIHIMI-IKD-2015-GregoryD #human-computer #information management #multi
Multisensory Information Processing for Enhanced Human-Machine Symbiosis (FDG, LD), pp. 354–365.
HCIHIMI-IKD-2015-MaierKMK #interactive #monitoring #process #visual notation
Visual Interactive Process Monitoring (SM, HK, TM, AK), pp. 74–85.
HCISCSM-2015-Flor #3d #process
3D Virtual Worlds: An Ethnography of Key Artifacts and Processes (NVF), pp. 20–29.
CAiSECAiSE-2015-Batoulis0BDW #logic #modelling #process
Extracting Decision Logic from Process Models (KB, AM, EB, GD, MW), pp. 349–366.
CAiSECAiSE-2015-CabanillasKRRMC #named #process #visual notation
RALph: A Graphical Notation for Resource Assignments in Business Processes (CC, DK, MR, MR, JM, ARC), pp. 53–68.
CAiSECAiSE-2015-EckLLA #mining #named #process
PM²: A Process Mining Project Methodology (MLvE, XL, SJJL, WMPvdA), pp. 297–313.
CAiSECAiSE-2015-EstanolST #modelling #process #uml #validation #verification
Verification and Validation of UML Artifact-Centric Business Process Models (ME, MRS, ET), pp. 434–449.
CAiSECAiSE-2015-GiacomoDMM #declarative #modelling #process
Declarative Process Modeling in BPMN (GDG, MD, FMM, MM), pp. 84–100.
CAiSECAiSE-2015-HarmanBJRK #elicitation #memory management #process
Virtual Business Role-Play: Leveraging Familiar Environments to Prime Stakeholder Memory During Process Elicitation (JH, RB, DJ, SRM, UK), pp. 166–180.
CAiSECAiSE-2015-KoschmiderUHO #process
Revising the Vocabulary of Business Process Element Labels (AK, MU, AH, AO), pp. 69–83.
CAiSECAiSE-2015-LeopoldMFPSM #automation #modelling #process #towards
Towards the Automated Annotation of Process Models (HL, CM, MF, FP, HS, JM), pp. 401–416.
CAiSECAiSE-2015-MrasekMB #automation #declarative #generative #modelling #process #specification
Automatic Generation of Optimized Process Models from Declarative Specifications (RM, JAM, KB), pp. 382–397.
CAiSECAiSE-2015-OrtegaGTRC #modelling #outsourcing #process
Modelling Service Level Agreements for Business Process Outsourcing Services (AdRO, AMG, ADT, MR, ARC), pp. 485–500.
CAiSECAiSE-2015-SenderovichWGMK #network #process #validation
Discovery and Validation of Queueing Networks in Scheduled Processes (AS, MW, AG, AM, SK, CAB), pp. 417–433.
ICEISICEIS-v1-2015-ArevaloRC #approach #information management #legacy #modelling #process
Discovering Business Models for Software Process Management — An Approach for Integrating Time and Resource Perspectives from Legacy Information Systems (CA, IMR, MJEC), pp. 353–359.
ICEISICEIS-v1-2015-AwasthiLK #algorithm #linear #problem #sequence #strict
Un-restricted Common Due-Date Problem with Controllable Processing Times — Linear Algorithm for a Given Job Sequence (AA, JL, OK), pp. 526–534.
ICEISICEIS-v1-2015-BerroMT #graph #open data #process #statistics
Graph-based ETL Processes for Warehousing Statistical Open Data (AB, IM, OT), pp. 271–278.
ICEISICEIS-v1-2015-CaballeroBP #assessment #process #towards
Towards Principled Data Science Assessment — The Personal Data Science Process (PdsP) (IC, LBE, MP), pp. 374–378.
ICEISICEIS-v1-2015-FolinoGP #behaviour #modelling #on the #process
On the Discovery of Explainable and Accurate Behavioral Models for Complex Lowly-structured Business Processes (FF, MG, LP), pp. 206–217.
ICEISICEIS-v1-2015-MeisenKMRJ #named #online #query
TIDAQL — A Query Language Enabling on-Line Analytical Processing of Time Interval Data (PM, DK, TM, MR, SJ), pp. 54–66.
ICEISICEIS-v1-2015-PfaffK #automation #benchmark #classification #documentation #identification #metric #natural language
Natural Language Processing Techniques for Document Classification in IT Benchmarking — Automated Identification of Domain Specific Terms (MP, HK), pp. 360–366.
ICEISICEIS-v1-2015-RezendeJ #concurrent #process #using #workflow
Deadlock Avoidance in Interorganizational Business Processes using a Possibilistic WorkFlow Net (LPdR, SJ), pp. 429–439.
ICEISICEIS-v1-2015-SunB #classification #mining #process
Function-based Case Classification for Improving Business Process Mining (YS, BB), pp. 251–258.
ICEISICEIS-v2-2015-CruzMS #modelling #process #set
Deriving a Data Model from a Set of Interrelated Business Process Models (EFC, RJM, MYS), pp. 49–59.
ICEISICEIS-v2-2015-CruzMS15a #modelling #process #set
Bridging the Gap between a Set of Interrelated Business Process Models and Software Models (EFC, RJM, MYS), pp. 338–345.
ICEISICEIS-v2-2015-FilhoVC #experience #process
Applying Knowledge Codification in a Post-mortem Process — A Practical Experience (ESdSF, DV, TC), pp. 153–165.
ICEISICEIS-v2-2015-FrantzSRYE #ecosystem #integration #markov #on the #process #using
On using Markov Decision Processes to Model Integration Solutions for Disparate Resources in Software Ecosystems (RZF, SS, FRF, IY, MTME), pp. 260–267.
ICEISICEIS-v2-2015-GlocknerML #evaluation #process
Engineering and Evaluation of Process Alternatives in Tactical Logistics Planning (MG, SM, AL), pp. 166–176.
ICEISICEIS-v2-2015-PerezNGD #analysis #development #process
A Tool for the Analysis of Change Management Processes in Software Development Cycles (MP, ÁN, HAPG, JCD), pp. 482–485.
ICEISICEIS-v3-2015-KhiderB #case study #comparative #process #social
Social Business Process Management Approaches — A Comparative Study (HK, AB), pp. 340–345.
ICEISICEIS-v3-2015-PassosJ #process #verification #workflow
Relaxed Soundness Verification for Interorganizational Workflow Processes (LMSP, SJ), pp. 221–228.
ICEISICEIS-v3-2015-SaidCAB #adaptation #modelling #named #process #using
BPMN4V — An Extension of BPMN for Modelling Adaptive Processes using Versions (IBS, MAC, EA, RB), pp. 258–267.
ICEISICEIS-v3-2015-SantosTF #process
Investigating Completeness of Coding in Business Process Model and Notation (CHdS, LHT, MF), pp. 328–333.
ICEISICEIS-v3-2015-SilvaVC #development #process #usability
Integrating the Usability into the Software Development Process — A Systematic Mapping Study (WS, NMCV, TC), pp. 105–113.
ICEISICEIS-v3-2015-ThabetGG #analysis #mining #petri net #process
Petri Net Model Cost Extension based on Process Mining — Cost Data Description and Analysis (DT, SAG, HHBG), pp. 268–275.
ICEISICEIS-v3-2015-TiamSM #process
An Operational Model of Variable Business Process (RTT, ADS, RM), pp. 162–172.
ICEISICEIS-v3-2015-Wissotzki #approach #identification #process
A Process Approach for Capability Identification and Management (MW), pp. 204–212.
ICMLICML-2015-DeisenrothN #distributed #process
Distributed Gaussian Processes (MPD, JWN), pp. 1481–1490.
ICMLICML-2015-FilipponeE #linear #probability #process #scalability
Enabling scalable stochastic gradient-based inference for Gaussian processes by employing the Unbiased LInear System SolvEr (ULISSE) (MF, RE), pp. 1015–1024.
ICMLICML-2015-FlaxmanWNNS #performance #process
Fast Kronecker Inference in Gaussian Processes with non-Gaussian Likelihoods (SF, AGW, DN, HN, AJS), pp. 607–616.
ICMLICML-2015-GalCG #category theory #estimation #multi #process
Latent Gaussian Processes for Distribution Estimation of Multivariate Categorical Data (YG, YC, ZG), pp. 645–654.
ICMLICML-2015-GalT #approximate #nondeterminism #process #representation
Improving the Gaussian Process Sparse Spectrum Approximation by Representing Uncertainty in Frequency Inputs (YG, RT), pp. 655–664.
ICMLICML-2015-GarnettHS #process
Finding Galaxies in the Shadows of Quasars with Gaussian Processes (RG, SH, JS), pp. 1025–1033.
ICMLICML-2015-GeCWG #distributed #modelling #process
Distributed Inference for Dirichlet Process Mixture Models (HG, YC, MW, ZG), pp. 2276–2284.
ICMLICML-2015-GeigerZSGJ #component #identification #process
Causal Inference by Identification of Vector Autoregressive Processes with Hidden Components (PG, KZ, BS, MG, DJ), pp. 1917–1925.
ICMLICML-2015-HoangHL #big data #framework #modelling #probability #process
A Unifying Framework of Anytime Sparse Gaussian Process Regression Models with Stochastic Variational Inference for Big Data (TNH, QMH, BKHL), pp. 569–578.
ICMLICML-2015-HugginsNSM #markov #named #process
JUMP-Means: Small-Variance Asymptotics for Markov Jump Processes (JHH, KN, AS, VKM), pp. 693–701.
ICMLICML-2015-JewellSB #process
Atomic Spatial Processes (SJ, NS, ABC), pp. 248–256.
ICMLICML-2015-Kandemir #learning #process #symmetry
Asymmetric Transfer Learning with Deep Gaussian Processes (MK), pp. 730–738.
ICMLICML-2015-KimXVS #process
Manifold-valued Dirichlet Processes (HJK, JX, BCV, VS), pp. 1199–1208.
ICMLICML-2015-LiangP #process
Landmarking Manifolds with Gaussian Processes (DL, JP), pp. 466–474.
ICMLICML-2015-LianHRLC #multi #predict #process
A Multitask Point Process Predictive Model (WL, RH, VR, JEL, LC), pp. 2030–2038.
ICMLICML-2015-LloydGOR #process
Variational Inference for Gaussian Process Modulated Poisson Processes (CML, TG, MAO, SJR), pp. 1814–1822.
ICMLICML-2015-MacdonaldHH #modelling #process
Controversy in mechanistic modelling with Gaussian processes (BM, CFH, DH), pp. 1539–1547.
ICMLICML-2015-MarietS #algorithm #fixpoint #learning #process
Fixed-point algorithms for learning determinantal point processes (ZM, SS), pp. 2389–2397.
ICMLICML-2015-Osogami #markov #process #robust
Robust partially observable Markov decision process (TO), pp. 106–115.
ICMLICML-2015-QiuXHLC #estimation #matrix #process #robust
Robust Estimation of Transition Matrices in High Dimensional Heavy-tailed Vector Autoregressive Processes (HQ, SX, FH, HL, BC), pp. 1843–1851.
ICMLICML-2015-SamoR #parametricity #process #scalability
Scalable Nonparametric Bayesian Inference on Point Processes with Gaussian Processes (YLKS, SR), pp. 2227–2236.
ICMLICML-2015-ShahKG #algorithm #empirical #probability #process
An Empirical Study of Stochastic Variational Inference Algorithms for the Beta Bernoulli Process (AS, DAK, ZG), pp. 1594–1603.
ICMLICML-2015-SuiGBK #optimisation #process
Safe Exploration for Optimization with Gaussian Processes (YS, AG, JWB, AK), pp. 997–1005.
ICMLICML-2015-WangLWC #metadata #process
Metadata Dependent Mondrian Processes (YW, BL, YW, FC), pp. 1339–1347.
ICMLICML-2015-WilsonN #kernel #process #scalability
Kernel Interpolation for Scalable Structured Gaussian Processes (KISS-GP) (AGW, HN), pp. 1775–1784.
ICMLICML-2015-YenLZRD #approach #modelling #process
A Convex Exemplar-based Approach to MAD-Bayes Dirichlet Process Mixture Models (IEHY, XL, KZ, PKR, ISD), pp. 2418–2426.
KDDKDD-2015-DuFASS #clustering #documentation #process
Dirichlet-Hawkes Processes with Applications to Clustering Continuous-Time Document Streams (ND, MF, AA, AJS, LS), pp. 219–228.
KDDKDD-2015-YuanBM #process #re-engineering #using
Gas Concentration Reconstruction for Coal-Fired Boilers Using Gaussian Process (CY, MB, BM), pp. 2247–2256.
KDDKDD-2015-ZhaoEHRL #named #predict #process #self #twitter
SEISMIC: A Self-Exciting Point Process Model for Predicting Tweet Popularity (QZ, MAE, HYH, AR, JL), pp. 1513–1522.
MLDMMLDM-2015-SalahAM #mining #optimisation #performance #pipes and filters
Optimizing the Data-Process Relationship for Fast Mining of Frequent Itemsets in MapReduce (SS, RA, FM), pp. 217–231.
SEKESEKE-2015-BrondaniBF #evaluation #process #quality
Quality Evaluation of Artifacts in Tailored Software Process Lines (CB, GB, LMF), pp. 223–226.
SEKESEKE-2015-TerencianiPLC #modelling #process #representation #towards #variability
BPMN* — A Notation for Representation of Variability in Business Process Towards Supporting Business Process Line Modeling (MT, DMBP, GL, MIC), pp. 227–230.
SEKESEKE-2015-TrinkenreichSCS #metric #process #towards #using
Toward using Business Process Intelligence to Support Incident Management Metrics Selection and Service Improvement (BT, GS, VTFC, FMS), pp. 522–527.
SEKESEKE-2015-ValeSSA #agile #analysis #evaluation #industrial #named #process
RiSE-DA: An Agile Domain Analysis Process and its Industrial Evaluation (TV, ISS, IFdS, ESdA), pp. 696–699.
SIGIRSIGIR-2015-LiDDCZB #behaviour #markov #process #query
Analyzing User’s Sequential Behavior in Query Auto-Completion via Markov Processes (LL, HD, AD, YC, HZ, RABY), pp. 123–132.
SIGIRSIGIR-2015-RenR #parametricity #process
Summarizing Contrastive Themes via Hierarchical Non-Parametric Processes (ZR, MdR), pp. 93–102.
MoDELSMoDELS-2015-LeemansA #distributed #mining #modelling #process #transaction
Process mining in software systems: Discovering real-life business transactions and process models from distributed systems (ML, WMPvdA), pp. 44–53.
MoDELSMoDELS-2015-SimmondsPBS #evolution #megamodelling #modelling #process
A megamodel for Software Process Line modeling and evolution (JS, DP, MCB, LS), pp. 406–415.
OnwardOnward-2015-Kell #process #towards
Towards a dynamic object model within Unix processes (SK), pp. 224–239.
REFSQREFSQ-2015-HarbersDN #process #requirements
Embedding Stakeholder Values in the Requirements Engineering Process (MH, CD, MAN), pp. 318–332.
REFSQREFSQ-2015-HiisilaKK #case study #challenge #outsourcing #process #requirements
Challenges of the Customer Organization’s Requirements Engineering Process in the Outsourced Environment — A Case Study (HH, MK, SK), pp. 214–229.
SACSAC-2015-AbibA #design #guidelines #process
Integrating contexts in healthcare: guidelines to help the designers at design process (JCA, JCA), pp. 182–184.
SACSAC-2015-AwadBESAS #anti #approach #detection #process #runtime
Runtime detection of business process compliance violations: an approach based on anti patterns (AA, AB, AE, RES, AA, SS), pp. 1203–1210.
SACSAC-2015-BritoE #branch #named #performance #query
BranchGuide: an indexing technique for efficient, lossless processing of branching path queries (TBV, GEdS), pp. 1086–1092.
SACSAC-2015-CorcoglionitiRM #rdf #sorting #streaming #using
Processing billions of RDF triples on a single machine using streaming and sorting (FC, MR, MM, MA), pp. 368–375.
SACSAC-2015-GarciaPMR #process
A software process line for service-oriented applications (CG, MP, AM, SSR), pp. 1680–1687.
SACSAC-2015-GoncalvesON #architecture
A meta-process to construct software architectures for system of systems (MBG, FO, EYN), pp. 1411–1416.
SACSAC-2015-KhelladiBBLG #consistency #framework #process #verification
A framework to formally verify conformance of a software process to a software method (DEK, RB, SB, YL, MPG), pp. 1518–1525.
SACSAC-2015-MarquesRA15a #process #requirements #towards #traceability
Towards a requirements traceability process centered on the traceability model (AM, FR, WdLA), pp. 1364–1369.
SACSAC-2015-MinHJCH #distributed #performance #process #scalability
An efficient backup-recovery technique to process large data in distributed key-value store (DM, TH, JJ, YC, JH), pp. 2072–2074.
SACSAC-2015-NonnenmacherOBV #modelling #process
Modelling consumers decision-making process about excluding products as a result of mutual influence (VN, LPLdO, BEJB, MBV), pp. 1123–1128.
SACSAC-2015-PintoMCS #process #using
Using event logs and the Ψ-theory to analyse business processes (PLP, CM, AC, MMdS), pp. 1195–1202.
SACSAC-2015-RuyFBG #ontology #pattern matching #process #standard #towards
Towards an ontology pattern language for harmonizing software process related ISO standards (FBR, RdAF, MPB, GG), pp. 388–395.
SACSAC-2015-SantosOA #development #mining #process
Mining software development process variations (RMSS, TCO, FBeA), pp. 1657–1660.
SACSAC-2015-SilvaSBVS #assessment #framework #process #using
Using ArchiMate to model a process assessment framework (NS, MMdS, BB, MV, PS), pp. 1189–1194.
SACSAC-2015-WeberFMS #mining #multi #process
Mining processes with multi-instantiation (IW, MF, JM, JGS), pp. 1231–1237.
ICSEICSE-v1-2015-Ralph #process #re-engineering
Developing and Evaluating Software Engineering Process Theories (PR), pp. 20–31.
ICSEICSE-v2-2015-ArnaoudovaHMA #natural language #re-engineering #retrieval
The Use of Text Retrieval and Natural Language Processing in Software Engineering (VA, SH, AM, GA), pp. 949–950.
ICSEICSE-v2-2015-Inozemtseva #comprehension #fault #process
Understanding the Software Fault Introduction Process (LI), pp. 843–846.
ICSEICSE-v2-2015-Mesh #process
Supporting Scientific SE Process Improvement (ESM), pp. 923–926.
ICSEICSE-v2-2015-PecchiaCCC #assessment #development #industrial #process
Industry Practices and Event Logging: Assessment of a Critical Software Development Process (AP, MC, GC, DC), pp. 169–178.
SLESLE-2015-UmuhozaBRC #empirical #modelling #process
An empirical study on simplification of business process modeling languages (EU, MB, DR, JC), pp. 13–24.
SPLCSPLC-2015-KassmeyerSS #impact analysis #process #safety #variability
A process to support a systematic change impact analysis of variability and safety in automotive functions (MK, MS, MS), pp. 235–244.
ASPLOSASPLOS-2015-DhawanHRVCSKPD #architecture #metadata
Architectural Support for Software-Defined Metadata Processing (UD, CH, RR, NV, SC, JMS, TFKJ, BCP, AD), pp. 487–502.
ASPLOSASPLOS-2015-MullapudiVB #automation #image #named #optimisation #pipes and filters
PolyMage: Automatic Optimization for Image Processing Pipelines (RTM, VV, UB), pp. 429–443.
PPoPPPPoPP-2015-SeoKK #graph #named #scalability #streaming
GStream: a graph streaming processing method for large-scale graphs on GPUs (HS, JK, MSK), pp. 253–254.
PPoPPPPoPP-2015-ShiLDHJLWLZ #gpu #graph #hybrid #optimisation
Optimization of asynchronous graph processing on GPU with hybrid coloring model (XS, JL, SD, BH, HJ, LL, ZW, XL, JZ), pp. 271–272.
PPoPPPPoPP-2015-WangDPWRO #gpu #graph #library #named
Gunrock: a high-performance graph processing library on the GPU (YW, AAD, YP, YW, AR, JDO), pp. 265–266.
SOSPSOSP-2015-0002BMZ #graph #named
Chaos: scale-out graph processing from secondary storage (AR, LB, JM, WZ), pp. 410–424.
SOSPSOSP-2015-WeiSCCC #in memory #performance #transaction #using
Fast in-memory transaction processing using RDMA and HTM (XW, JS, YC, RC, HC), pp. 87–104.
CAVCAV-2015-BrazdilCCFK #learning #markov #process
Counterexample Explanation by Learning Small Strategies in Markov Decision Processes (TB, KC, MC, AF, JK), pp. 158–177.
CAVCAV-2015-RandourRS #markov #multi #process #query
Percentile Queries in Multi-dimensional Markov Decision Processes (MR, JFR, OS), pp. 123–139.
ICSTICST-2015-HuuhtanenIL #algebra #algorithm #design #testing
Combining Algebraic and Domain Testing to Design Adequate Test Cases for Signal Processing Algorithms (TH, JI, CL), pp. 1–10.
ICSTICST-2015-Salloum #data transformation #development #information management #integration #process
Seamless Integration of Test Information Management and Calibration Data Management in the Overall Automotive Development Process (CES), pp. 1–3.
LICSLICS-2015-ChatterjeeKK #markov #multi #process
Unifying Two Views on Multiple Mean-Payoff Objectives in Markov Decision Processes (KC, ZK, JK), pp. 244–256.
LICSLICS-2015-CzerwinskiJ #branch #process #similarity
Branching Bisimilarity of Normed BPA Processes Is in NEXPTIME (WC, PJ), pp. 168–179.
VMCAIVMCAI-2015-GanjeiREP #process
Abstracting and Counting Synchronizing Processes (ZG, AR, PE, ZP), pp. 227–244.
VMCAIVMCAI-2015-SalaunY #algebra #debugging #process #specification
Debugging Process Algebra Specifications (GS, LY), pp. 245–262.
CBSECBSE-2014-MateescuSY #model checking #parallel #process #using
Quantifying the parallelism in BPMN processes using model checking (RM, GS, LY), pp. 159–168.
ECSAECSA-2014-OliveiraLFON #architecture #design #process #towards
Towards a Process to Design Architectures of Service-Oriented Robotic Systems (LBRdO, EL, KRF, FO, EYN), pp. 218–225.
WICSAWICSA-2014-BabazadehP #design #distributed #framework
The Stream Software Connector Design Space: Frameworks and Languages for Distributed Stream Processing (MB, CP), pp. 1–10.
WICSAWICSA-2014-BaresiGM #consistency #evolution #process #runtime
Consistent Runtime Evolution of Service-Based Business Processes (LB, SG, VPLM), pp. 77–86.
WICSAWICSA-2014-DragomirLB #approach #architecture
Systematic Architectural Decision Management, A Process-Based Approach (AD, HL, TB), pp. 255–258.
WICSAWICSA-2014-NakagawaGMFO #architecture #design #evaluation #process #representation
Consolidating a Process for the Design, Representation, and Evaluation of Reference Architectures (EYN, MG, JCM, DF, FO), pp. 143–152.
WICSAWICSA-2014-ZernadjiTC #approach #evolution #quality #requirements #web #web service
Processing the Evolution of Quality Requirements of Web Service Orchestrations: A Pattern-Based Approach (TZ, CT, CF), pp. 139–142.
ASEASE-2014-BurgDMDGH #consistency #nondeterminism #process
Tracing software build processes to uncover license compliance inconsistencies (SvdB, ED, SM, JD, DMG, AH), pp. 731–742.
ASEASE-2014-StephenSSE #big data #program analysis
Program analysis for secure big data processing (JJS, SS, RS, PTE), pp. 277–288.
CASECASE-2014-ChenFSWJF #internet
Complex event processing for the Internet of Things and its applications (CYC, JHF, TS, PFW, EJ, MWF), pp. 1144–1149.
CASECASE-2014-FeyzabadiC #markov #process #using
Risk-aware path planning using hirerachical constrained Markov Decision Processes (SF, SC), pp. 297–303.
CASECASE-2014-KanagarajPL #algorithm #optimisation #process
Application of a hybridized cuckoo search-genetic algorithm to path optimization for PCB holes drilling process (GK, SGP, WCEL), pp. 373–378.
CASECASE-2014-LiLT #petri net #probability #process #scheduling
A color petri net based scheduling model for remanufacturing system with stochastic process routing (LL, CL, YT), pp. 474–479.
CASECASE-2014-LiY #scheduling
ACO-ICSA based scheduling of re-entrant manufacturing system with Mix-processing Style (LL, QY), pp. 87–92.
CASECASE-2014-LuXJ #markov #process
A Markov Decision Process model for elective inpatient admission with delay announcement (YL, XX, ZJ), pp. 552–557.
CASECASE-2014-MahlerKLSMKPWFAG #learning #process #using
Learning accurate kinematic control of cable-driven surgical robots using data cleaning and Gaussian Process Regression (JM, SK, ML, SS, AM, BK, SP, JW, MF, PA, KYG), pp. 532–539.
CASECASE-2014-PampuriSWJOM #process
Insight extraction for semiconductor manufacturing processes (SP, GAS, JW, ABJ, PGO, SFM), pp. 786–791.
CASECASE-2014-SongWHT #modelling #process
Shape deviation modeling for fused deposition modeling processes (SS, AW, QH, FT), pp. 758–763.
CASECASE-2014-TiengYHC #approach #multi #optimisation #process
A multi-objective optimization approach for selecting key features of machining processes (HT, HCY, MHH, FTC), pp. 899–904.
CASECASE-2014-YugmaBDV #bibliography #integration #process #scheduling
Integration of scheduling and advanced process control in semiconductor manufacturing: review and outlook (CY, JB, SDP, PV), pp. 93–98.
DACDAC-2014-GaoXYP #named #optimisation #process
MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction (JRG, XX, BY, DZP), p. 6.
DACDAC-2014-LiuFC #process #self #using
Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process (IJL, SYF, YWC), p. 6.
DACDAC-2014-RehmanKSSH #adaptation #code generation #dependence #named #process #reliability
dTune: Leveraging Reliable Code Generation for Adaptive Dependability Tuning under Process Variation and Aging-Induced Effects (SR, FK, DS, MS, JH), p. 6.
DACDAC-2014-SchaffnerGSKB #approximate #complexity #linear #realtime #video
An Approximate Computing Technique for Reducing the Complexity of a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing (MS, FKG, AS, HK, LB), p. 6.
DACDAC-2014-ZhaoJZX #process
SLC-enabled Wear Leveling for MLC PCM Considering Process Variation (MZ, LJ, YZ, CJX), p. 6.
DATEDATE-2014-0001GWKAWG #optimisation #performance #runtime
Exploiting expendable process-margins in DRAMs for run-time performance optimization (KC, SG, CW, MK, BA, NW, KG), pp. 1–6.
DATEDATE-2014-AguileraLFMSK #algorithm #clustering #multi #process
Process variation-aware workload partitioning algorithms for GPUs supporting spatial-multitasking (PA, JL, AFF, KM, MJS, NSK), pp. 1–6.
DATEDATE-2014-DuW #optimisation #process #standard
Optimization of standard cell based detailed placement for 16 nm FinFET process (YD, MDFW), pp. 1–6.
DATEDATE-2014-NejatAA #power management #process
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits (MN, BA, AAK), pp. 1–4.
DATEDATE-2014-NovoFIAC #approximate #case study #energy #performance #runtime
Energy efficient MIMO processing: A case study of opportunistic run-time approximations (DN, NF, PI, UA, FC), pp. 1–6.
DATEDATE-2014-RichterVSHV #challenge
Integrated circuits processing chemical information: Prospects and challenges (AR, AV, RS, SH, MV), p. 1.
HTHT-2014-ChengKWT #architecture #distributed #memory management #performance #rdf #scalability
A two-tier index architecture for fast processing large RDF data over distributed memory (LC, SK, TEW, GT), pp. 300–302.
PODSPODS-2014-BeameKS #parallel #query
Skew in parallel query processing (PB, PK, DS), pp. 212–223.
SIGMODSIGMOD-2014-AgarwalMKTJMMS #approximate #performance #query #reliability
Knowing when you’re wrong: building fast and reliable approximate query processing systems (SA, HM, AK, AT, MIJ, SM, BM, IS), pp. 481–492.
SIGMODSIGMOD-2014-ChangWMJMGLCWSB #named #parallel #sql
HAWQ: a massively parallel processing SQL engine in hadoop (LC, ZW, TM, LJ, LM, AG, LL, JC, CW, GS, MB), pp. 1223–1234.
SIGMODSIGMOD-2014-ChengR #parallel
Parallel in-situ data processing with speculative loading (YC, FR), pp. 1287–1298.
SIGMODSIGMOD-2014-DuttH #estimation #query
Plan bouquets: query processing without selectivity estimation (AD, JRH), pp. 1039–1050.
SIGMODSIGMOD-2014-KarnagelHLHLHM #performance #query
Demonstrating efficient query processing in heterogeneous environments (TK, MH, ML, DH, WL, MH, VM), pp. 693–696.
SIGMODSIGMOD-2014-LeFevreSHTPC14a #big data #multi #named #query
MISO: souping up big data query processing with a multistore system (JL, JS, HH, JT, NP, MJC), pp. 1591–1602.
SIGMODSIGMOD-2014-PangKFF #commit #named #predict
PLANET: making progress with commit processing in unpredictable environments (GP, TK, MJF, AF), pp. 3–14.
SIGMODSIGMOD-2014-QinYCCZL #graph #pipes and filters #scalability
Scalable big graph processing in MapReduce (LQ, JXY, LC, HC, CZ, XL), pp. 827–838.
SIGMODSIGMOD-2014-ShiCDO #ad hoc #towards
Towards unified ad-hoc data processing (XS, BC, GD, BCO), pp. 1263–1274.
SIGMODSIGMOD-2014-WangKFGKM #framework #performance #query
A sample-and-clean framework for fast and accurate query processing on dirty data (JW, SK, MJF, KG, TK, TM), pp. 469–480.
SIGMODSIGMOD-2014-WongKCLY #database #query
Secure query processing with data interoperability in a cloud database environment (WKW, BK, DWLC, RL, SMY), pp. 1395–1406.
SIGMODSIGMOD-2014-ZengGMZ #approximate #estimation #fault #performance #query
The analytical bootstrap: a new method for fast error estimation in approximate query processing (KZ, SG, BM, CZ), pp. 277–288.
SIGMODSIGMOD-2014-ZhangDI #complexity #on the #optimisation #query
On complexity and optimization of expensive queries in complex event processing (HZ, YD, NI), pp. 217–228.
VLDBVLDB-2014-BressHSKMS #hardware #named
Ocelot/HyPE: Optimized Data Processing on Heterogeneous Hardware (SB, MH, MS, BK, VM, GS), pp. 1609–1612.
VLDBVLDB-2014-ChenCCC #named #performance
SOPS: A System for Efficient Processing of Spatial-Keyword Publish/Subscribe (LC, YC, GC, XC), pp. 1601–1604.
VLDBVLDB-2014-DeutchMT #analysis #framework #process
A Provenance Framework for Data-Dependent Process Analysis (DD, YM, VT), pp. 457–468.
VLDBVLDB-2014-DuttNH #approach #named #query #robust
QUEST: An Exploratory Approach to Robust Query Processing (AD, SN, JRH), pp. 1585–1588.
VLDBVLDB-2014-GuarnieriB #query
Optimal Security-Aware Query Processing (MG, DAB), pp. 1307–1318.
VLDBVLDB-2014-HanDAOWJ #comparison #graph
An Experimental Comparison of Pregel-like Graph Processing Systems (MH, KD, KA, MTÖ, XW, TJ), pp. 1047–1058.
VLDBVLDB-2014-Jiang0OTW #big data #named #scalability
epiC: an Extensible and Scalable System for Processing Big Data (DJ, GC, BCO, KLT, SW), pp. 541–552.
VLDBVLDB-2014-KarpathiotakisBAA #adaptation #query
Adaptive Query Processing on RAW Data (MK, MB, IA, AA), pp. 1119–1130.
VLDBVLDB-2014-LiLWB #in the cloud #performance #privacy #query
Fast Range Query Processing with Strong Privacy Protection for Cloud Computing (RL, AXL, ALW, BB), pp. 1953–1964.
VLDBVLDB-2014-LiP #named
WideTable: An Accelerator for Analytical Data Processing (YL, JMP), pp. 907–918.
VLDBVLDB-2014-NagelBV #code generation #performance #query
Code Generation for Efficient Query Processing in Managed Runtimes (FN, GMB, SDV), pp. 1095–1106.
VLDBVLDB-2014-SuSGOS #big data #java
Changing Engines in Midstream: A Java Stream Computational Model for Big Data Processing (XS, GS, BG, BO, PS), pp. 1343–1354.
VLDBVLDB-2014-WangZYMLD0 #concurrent #query
Concurrent Analytical Query Processing with GPUs (KW, KZ, YY, SM, RL, XD, XZ), pp. 1011–1022.
VLDBVLDB-2015-HeZH14 #architecture #cpu #gpu #query
In-Cache Query Co-Processing on Coupled CPU-GPU Architectures (JH, SZ, BH), pp. 329–340.
VLDBVLDB-2015-Li0QYZ014 #query #set #using
Processing Moving kNN Queries Using Influential Neighbor Sets (CL, YG, JQ, GY, RZ, WY), pp. 113–124.
VLDBVLDB-2015-ShenCJLOT14 #distributed #graph #performance
Fast Failure Recovery in Distributed Graph Processing Systems (YS, GC, HVJ, WL, BCO, BMT), pp. 437–448.
VLDBVLDB-2015-TaftMSDEAPS14 #clustering #distributed #fine-grained #named #transaction
E-Store: Fine-Grained Elastic Partitioning for Distributed Transaction Processing (RT, EM, MS, JD, AJE, AA, AP, MS), pp. 245–256.
VLDBVLDB-2015-YangZTCM14 #distributed #named #navigation
CANDS: Continuous Optimal Navigation via Distributed Stream Processing (DY, DZ, KLT, JC, FLM), pp. 137–148.
VLDBVLDB-2015-ZhouGSY14 #distributed #graph #named #online #scalability #using
MOCgraph: Scalable Distributed Graph Processing Using Message Online Computing (CZ, JG, BS, JXY), pp. 377–388.
CSEETCSEET-2014-Barker #process
Workshop on improving the CSEE&T submissions process (MB), pp. 183–185.
CSEETCSEET-2014-RongZS #case study #education #experience #matter #process
Where does experience matter in software process education? An experience report (GR, HZ, DS), pp. 129–138.
CSEETCSEET-2014-SedelmaierL #modelling #process #requirements #using
Using business process models to foster competencies in requirements engineering (YS, DL), pp. 13–22.
ITiCSEITiCSE-2014-Isomottonen #process #student
Making group processes explicit to student: a case of justice (VI), pp. 195–200.
ITiCSEITiCSE-2014-MonasorVPNB #assessment #development #process
Assessment process for a simulation-based training environment in global software development (MJM, AV, MP, JN, SB), pp. 231–236.
FoSSaCSFoSSaCS-2014-0001MS #markov #process
Limit Synchronization in Markov Decision Processes (LD, TM, MS), pp. 58–72.
FoSSaCSFoSSaCS-2014-BonnetKL #analysis #parallel #probability #process
Analysis of Probabilistic Basic Parallel Processes (RB, SK, AWL), pp. 43–57.
FoSSaCSFoSSaCS-2014-Fu #bound #markov #probability #process #reachability
Maximal Cost-Bounded Reachability Probability on Continuous-Time Markov Decision Processes (HF), pp. 73–87.
TACASTACAS-2014-SoudjaniA #approximate #markov #precise #probability #process
Precise Approximations of the Probability Distribution of a Markov Process in Time: An Application to Probabilistic Invariance (SEZS, AA), pp. 547–561.
SANERCSMR-WCRE-2014-AlawnehHML #approach #communication #effectiveness
A contextual approach for effective recovery of inter-process communication patterns from HPC traces (LA, AHL, SSM, YL), pp. 274–282.
SANERCSMR-WCRE-2014-VosTPKBHS #automation #internet #named #process #testing
FITTEST: A new continuous and automated testing process for future Internet applications (TEJV, PT, WP, PMK, AB, MH, OS), pp. 407–410.
ICSMEICSME-2014-AlvesSD #automation #process #quality #standard
Applying ISO/IEC 25010 Standard to Prioritize and Solve Quality Issues of Automatic ETL Processes (TLA, PS, MSD), pp. 573–576.
MSRMSR-2014-GuptaSP #fault #mining #multi #perspective #process #repository
Process mining multiple repositories for software defect resolution from control and organizational perspective (MG, AS, SP), pp. 122–131.
MSRMSR-2014-KhodabandelouHDS #modelling #process
Unsupervised discovery of intentional process models from event logs (GK, CH, RD, CS), pp. 282–291.
FLOPSFLOPS-2014-BarkatiWJ #interpreter #multi #named
Faustine: A Vector Faust Interpreter Test Bed for Multimedia Signal Processing — System Description (KB, HW, PJ), pp. 69–85.
LATALATA-2014-LiPT #probability #process #simulation
Probabilistic Simulation for Probabilistic Data-Aware Business Processes (HL, FP, FT), pp. 503–515.
FMFM-2014-AntoninoSW #analysis #concurrent #csp #network #process #refinement
A Refinement Based Strategy for Local Deadlock Analysis of Networks of CSP Processes (PRGA, AS, JW), pp. 62–77.
FMFM-2014-RoySS #case study #experience #industrial #process
Diagnosing Industrial Business Processes: Early Experiences (SR, ASMS, SS), pp. 703–717.
IFMIFM-2014-FathabadiSB #manycore #modelling #process #runtime
Applying an Integrated Modelling Process to Run-time Management of Many-Core Systems (ASF, CFS, MJB), pp. 120–135.
IFLIFL-2014-AronssonAS #domain-specific language #embedded
Stream Processing for Embedded Domain Specific Languages (MA, EA, MS), p. 8.
LISPILC-2014-Penkler #array
An Array and List Processing System (DP), p. 22.
ICGTICGT-2014-Bruggink #graph transformation #mining #process #towards
Towards Process Mining with Graph Transformation Systems (HJSB), pp. 253–268.
CHICHI-2014-BentonVKJG #design #framework #process
Diversity for design: a framework for involving neurodiverse children in the technology design process (LB, AV, RK, HJ, DG), pp. 3747–3756.
CHICHI-2014-LeavittC #process #social
Upvoting hurricane Sandy: event-based news production processes on a social news site (AL, JAC), pp. 1495–1504.
CHICHI-2014-MuellerGVE #design #game studies #process
Supporting the creative game design process with exertion cards (FM, MRG, FV, DE), pp. 2211–2220.
CSCWCSCW-2014-VitakK #facebook #how #people #process #quote
“You can’t block people offline”: examining how facebook’s affordances shape the disclosure process (JV, JK), pp. 461–474.
CSCWCSCW-2014-YueHH #collaboration #modelling #process #using #web
Modeling search processes using hidden states in collaborative exploratory web search (ZY, SH, DH), pp. 820–830.
HCIDHM-2014-AbibBA #communication #comprehension #process
Understanding and Facilitating the Communication Process among Healthcare Professionals (JCA, AOB, JCA), pp. 313–324.
HCIDHM-2014-GoreW #concept #process
A Task Analytic Process to Define Future Concepts in Aviation (BFG, CW), pp. 236–246.
HCIDHM-2014-OtaWKTGH #comparison #process
Comparison of Different Tea Whisk Influence on Bubble Form in Processes of “The Way of Tea” (TO, ZW, SK, YT, AG, HH), pp. 197–203.
HCIDUXU-DI-2014-HusseinMT #development #human-computer #process #user interface #web
HCI Knowledge for UX Practices in the Web Development Process (IH, MM, AOMT), pp. 116–126.
HCIDUXU-DI-2014-ShinKKJS #design #game studies #interactive #process
A New Design Process for Viewer Participation in Interactive TV Program: Focused on the Interactive TV Game Show Hae-un-dae (JS, HJK, JIK, BGJ, CS), pp. 763–772.
HCIDUXU-DP-2014-Al-RubaianAAAAAAAAAAAAA #design #development #game studies
The Design and Development of Empathetic Serious Games for Dyslexia: BCI Arabic Phonological Processing Training Systems (AAR, LA, RA, WA, HA, NA, HAN, AA, SA, RA, AAS, DAO, GA, AAW), pp. 105–112.
HCIDUXU-DP-2014-HamachiTN #integration #process #quality
Activities to Improve System Integration and Service Quality and Add Additional Values — Reducing the Cost in Applying Human-Centered-Design Process (RH, IT, HN), pp. 32–38.
HCIDUXU-DP-2014-Heimgartner #process #standard #usability
ISO 9241-210 and Culture? — The Impact of Culture on the Standard Usability Engineering Process (RH), pp. 39–48.
HCIDUXU-TMT-2014-KeckLG #design #process #user interface
Revisiting Graspable User Interfaces — A Design Process for Developing User Interface Metaphors (MK, EL, RG), pp. 130–141.
HCIHCI-AIMT-2014-FleischmannSS #case study #comparative #interactive #modelling #process
Tangible or Not Tangible — A Comparative Study of Interaction Types for Process Modeling Support (AF, WS, CS), pp. 544–555.
HCIHCI-TMT-2014-BatistaUF #adaptation #design #guidelines #interface #process #web
A Model and Guidelines for the Interface Design Process for Adaptive Web Applications (IDPAWA) (CRB, VRU, AMdVF), pp. 387–398.
HCIHCI-TMT-2014-HankiewiczB #performance #quality #source code #using #word
Efficiency in Performing Basic Tasks Using Word Processing Programs by the Elderly as a Measure of the Ergonomic Quality of Software (KH, MB), pp. 481–488.
HCIHCI-TMT-2014-LatoschikF #multimodal #reuse #scalability
Engineering Variance: Software Techniques for Scalable, Customizable, and Reusable Multimodal Processing (MEL, MF), pp. 308–319.
HCIHCI-TMT-2014-RaneburgerPKAS #automation #design #generative #incremental #interactive #process #user interface
An Iterative and Incremental Process for Interaction Design through Automated GUI Generation (DR, RP, HK, AA, VS), pp. 373–384.
HCIHCI-TMT-2014-Zuanon #design #interactive #named #process
Design-Neuroscience: Interactions between the Creative and Cognitive Processes of the Brain and Design (RZ), pp. 167–174.
HCIHIMI-AS-2014-MaeshiroM #network #process
Polyhedron Network Model to Describe Creative Processes (TM, MM), pp. 535–545.
HCIHIMI-AS-2014-MakiY #3d #image #multi #using
3D CG Integral Photography Artwork Using Glittering Effects in the Post-processing of Multi-viewpoint Rendered Images (NM, KY), pp. 546–554.
HCIHIMI-AS-2014-SeigerSMS #concept #cyber-physical #interactive #process
A Resilient Interaction Concept for Process Management on Tabletops for Cyber-Physical Systems (RS, SS, SM, TS), pp. 347–358.
HCIHIMI-AS-2014-YamaguchiTT #learning #process #visualisation
Visualizing Mental Learning Processes with Invisible Mazes for Continuous Learning (TY, KT, KT), pp. 137–148.
HCIHIMI-DE-2014-TanikawaSKF #design #problem #process #usability #verification
Problems in Usability Improvement Activity by Software Engineers — Consideration through Verification Experiments for Human- Centered Design Process Support Environment (YT, HS, HK, SF), pp. 641–651.
HCILCT-NLE-2014-BattaiolaAP #animation #design #education #process
Canvas to Improve the Design Process of Educational Animation (ALB, MMA, REP), pp. 13–24.
HCILCT-NLE-2014-Choffat-Durr #distance #process
Distance Exchange Projects at Elementary School: A Focus on a Co-learning Process (ACD), pp. 380–387.
HCISCSM-2014-FraidakiPD #how #information management #process #social #social media
Living in the Era of Social Media: How the Different Types of Social Media May Affect Information Acquisition Process (KF, KP, GID), pp. 178–185.
CAiSECAiSE-2014-0001PBKLSFW #automation #process
Automating Data Exchange in Process Choreographies (AM, LP, KB, SK, TL, TS, DF, MW), pp. 316–331.
CAiSECAiSE-2014-BastarricaMRSV #how #process #quality #question
How does Quality of Formalized Software Processes Affect Adoption? (MCB, GM, RR, LS, RV), pp. 226–240.
CAiSECAiSE-2014-ChanYGM #development #execution #mining #process
Mining Event Logs to Assist the Development of Executable Process Variants (NNC, KY, WG, JM), pp. 548–563.
CAiSECAiSE-2014-EpureHDB #flexibility #mining #process #what
What Shall I Do Next? — Intention Mining for Flexible Process Enactment (EVE, CH, RD, SB), pp. 473–487.
CAiSECAiSE-2014-FolinoGP #low level #mining #modelling #multi #predict #process
Mining Predictive Process Models out of Low-level Multidimensional Logs (FF, MG, LP), pp. 533–547.
CAiSECAiSE-2014-LaurentBBG #formal method #process #verification
Formalization of fUML: An Application to Process Verification (YL, RB, SB, MPG), pp. 347–363.
CAiSECAiSE-2014-MaggiFDG #monitoring #predict #process
Predictive Monitoring of Business Processes (FMM, CDF, MD, CG), pp. 457–472.
CAiSECAiSE-2014-MurguzurCTS #process #runtime #staged
Context-Aware Staged Configuration of Process Variants@Runtime (AM, XDC, ST, GS), pp. 241–255.
CAiSECAiSE-2014-OhlssonHJCR #process #tool support
Prioritizing Business Processes Improvement Initiatives: The Seco Tools Case (JO, SH, PJ, FC, LR), pp. 256–270.
CAiSECAiSE-2014-PolyvyanyyRH #modelling #performance #process #retrieval #using
Indexing and Efficient Instance-Based Retrieval of Process Models Using Untanglings (AP, MLR, AHMtH), pp. 439–456.
CAiSECAiSE-2014-RuizCEFP #analysis #information management #process
Integrating the Goal and Business Process Perspectives in Information System Analysis (MR, DC, SE, XF, OP), pp. 332–346.
CAiSECAiSE-2014-SenderovichWGM #mining #predict #process #queue
Queue Mining — Predicting Delays in Service Processes (AS, MW, AG, AM), pp. 42–57.
CAiSECAiSE-2014-SubeljBKB #mining #process
Automatization of the Stream Mining Process (LS, ZB, MK, MB), pp. 409–423.
EDOCEDOC-2014-0002HHDKS #process #towards
Towards Process Support for Cloud Manufacturing (SS, PH, CH, SD, MK, DS), pp. 142–149.
EDOCEDOC-2014-Aalst #enterprise #mining #process
Process Mining as the Superglue Between Data Science and Enterprise Computing (WMPvdA), p. 1.
EDOCEDOC-2014-FrancescomarinoRGV #modelling #process #semantics
The Role of Semantic Annotations in Business Process Modelling (CDF, MR, CG, AV), pp. 181–189.
EDOCEDOC-2014-GarciaV #abstraction #architecture #framework #implementation #process
Object-Business Process Mapping Frameworks: Abstractions, Architecture, and Implementation (RG, MTV), pp. 160–169.
EDOCEDOC-2014-HalleV #formal method
A Formalization of Complex Event Stream Processing (SH, SV), pp. 2–11.
EDOCEDOC-2014-Pufahl0W #process
Batch Regions: Process Instance Synchronization Based on Data (LP, AM, MW), pp. 150–159.
EDOCEDOC-2014-SungurBBL #process
Informal Process Essentials (CTS, TB, UB, FL), pp. 200–209.
EDOCEDOC-2014-Weber #comprehension #how #modelling #process #towards
Investigating the Process of Process Modeling: Towards an in-Depth Understanding of How Process Models Are Created (BW), p. 180.
ICEISICEIS-v1-2014-0001DFL #classification #constraints #documentation #process
Service Level Agreement Constraints into Processes for Document Classification (MB, MD, FF, AL), pp. 545–550.
ICEISICEIS-v1-2014-FreireCSS #process #query #semantics
Preserving the Original Query Semantics in Routing Processes (CF, NC, DS, ACS), pp. 70–80.
ICEISICEIS-v1-2014-GrogerSM #information management #process #repository
The Manufacturing Knowledge Repository — Consolidating Knowledge to Enable Holistic Process Knowledge Management in Manufacturing (CG, HS, BM), pp. 39–51.
ICEISICEIS-v1-2014-JavidrooziACS #challenge #enterprise #integration #process
Business Process Change in Enterprise Systems Integration — Challenges and Opportunities (VJ, AA, AC, HS), pp. 128–135.
ICEISICEIS-v1-2014-OliveiraB #independence #process #specification #towards
ETL Patterns on YAWL — Towards to the Specification of Platform-independent Data Warehousing Populating Processes (BCdSO, OB), pp. 299–307.
ICEISICEIS-v2-2014-GulnazMT #information management
University’s Scientific Resources Processing in Knowledge Management Systems (GZ, MM, TB), pp. 225–232.
ICEISICEIS-v2-2014-HoosGKM #analysis #framework #identification #mobile #process
Improving Business Processes Through Mobile Apps — An Analysis Framework to Identify Value-added App Usage Scenarios (EH, CG, SK, BM), pp. 71–82.
ICEISICEIS-v2-2014-KaramtiTG #image #network #process #retrieval #using
Vectorization of Content-based Image Retrieval Process Using Neural Network (HK, MT, FG), pp. 435–439.
ICEISICEIS-v2-2014-MerouaniMS #approach #consistency #formal method #process #testing #towards
Formalizing Artifact-Centric Business Processes — Towards a Conformance Testing Approach (HM, FM, HSB), pp. 368–374.
ICEISICEIS-v2-2014-ShamsuzzohaAKH #collaboration #internet #monitoring #process #realtime #repository
Smart Collaborative Processes Monitoring in Real-time Business Environment — Applications of Internet of Things and Cloud-data Repository (AS, SA, SK, PTH), pp. 556–563.
ICEISICEIS-v2-2014-ShamsuzzohaFAAH #collaboration #process #visualisation
Visualization Functionality of Virtual Factories — An Enhancement to Collaborative Business Process Management (AS, FF, SA, AA, PTH), pp. 599–604.
ICEISICEIS-v2-2014-ShumskyRW #calculus #execution #process
Processes Construction and π-calculus-based Execution and Tracing (LS, VR, VW), pp. 448–453.
ICEISICEIS-v2-2014-SilvaMTW #modelling #process
Business Process Modeling and Instantiation in Home Care Environments (JKKdS, GMM, LHT, LKW), pp. 513–525.
ICEISICEIS-v2-2014-SilvaTDO #process
Combining the Spray Technique with Routes to Improve the Routing Process in VANETS (MJdS, FAT, SED, RARO), pp. 583–590.
ICEISICEIS-v3-2014-AlkhaldiCG #development #ontology #process
Supporting Process Model Development with Enterprise-Specific Ontologies (NA, SC, FG), pp. 236–248.
ICEISICEIS-v3-2014-AzevedoF #case study #education #learning #process #student
The Response Systems in the Student’s Learning/Teaching Process — A Case Study in a Portuguese School (PA, MJF), pp. 79–86.
ICEISICEIS-v3-2014-OrdonezCCWT #collaboration #evaluation #modelling #process #repository
Collaborative Evaluation to Build Closed Repositories on Business Process Models (HO, JCC, CC, LKW, LHT), pp. 311–318.
ICEISICEIS-v3-2014-RavasanRH #approach #framework #fuzzy #process #using
A Practical Framework for Business Process Management Suites Selection Using Fuzzy TOPSIS Approach (AZR, SR, HH), pp. 295–302.
ICEISICEIS-v3-2014-SaidCAB #metamodelling #modelling #process
Extending BPMN 2.0 Meta-models for Process Version Modelling (IBS, MAC, EA, RB), pp. 384–393.
ICEISICEIS-v3-2014-SilvaGS #case study #gesture #interactive #process
Gesture Vocabulary for Natural Interaction with Virtual Museums — Case Study: A Process Created and Tested Within a Bilingual Deaf Children School (LRdS, LSG, LS), pp. 5–13.
ICEISICEIS-v3-2014-SilvaOAL #declarative #empirical #imperative #process
Declarative Versus Imperative Business Process Languages — A Controlled Experiment (NCS, CALdO, FALAA, RMFL), pp. 394–401.
ICEISICEIS-v3-2014-ThabetGG #mining #petri net #process #towards
Towards Business Process Model Extension with Cost Perspective Based on Process Mining — Petri Net Model Case (DT, SAG, HHBG), pp. 335–342.
CIKMCIKM-2014-CalvaneseMET #modelling #process #uml
Verifiable UML Artifact-Centric Business Process Models (DC, MM, ME, ET), pp. 1289–1298.
CIKMCIKM-2014-ChatzistergiouV #clustering #data type #heuristic #performance
Fast Heuristics for Near-Optimal Task Allocation in Data Stream Processing over Clusters (AC, SDV), pp. 1579–1588.
CIKMCIKM-2014-WuF #documentation #query #scalability
Document Prioritization for Scalable Query Processing (HW, HF), pp. 1609–1618.
CIKMCIKM-2014-WuF14a #modelling #performance #query
Analytical Performance Modeling for Top-K Query Processing (HW, HF), pp. 1619–1628.
ECIRECIR-2014-FiliceCCB #effectiveness #kernel #learning #online
Effective Kernelized Online Learning in Language Processing Tasks (SF, GC, DC, RB), pp. 347–358.
ICMLICML-c1-2014-DickGS #learning #markov #online #process #sequence
Online Learning in Markov Decision Processes with Changing Cost Sequences (TD, AG, CS), pp. 512–520.
ICMLICML-c1-2014-GiesekeHOI #nearest neighbour #query
Buffer k-d Trees: Processing Massive Nearest Neighbor Queries on GPUs (FG, JH, CEO, CI), pp. 172–180.
ICMLICML-c1-2014-LianREC #correlation #markov #modelling #process
Modeling Correlated Arrival Events with Latent Semi-Markov Processes (WL, VR, BE, LC), pp. 396–404.
ICMLICML-c1-2014-MillerBAG #analysis #process
Factorized Point Process Intensities: A Spatial Analysis of Professional Basketball (AM, LB, RPA, KG), pp. 235–243.
ICMLICML-c1-2014-NguyenB #performance #process
Fast Allocation of Gaussian Process Experts (TVN, EVB), pp. 145–153.
ICMLICML-c2-2014-AffandiFAT #kernel #learning #parametricity #process
Learning the Parameters of Determinantal Point Process Kernels (RHA, EBF, RPA, BT), pp. 1224–1232.
ICMLICML-c2-2014-BarberW #difference #equation #estimation #process
Gaussian Processes for Bayesian Estimation in Ordinary Differential Equations (DB, YW), pp. 1485–1493.
ICMLICML-c2-2014-BartunovV #distance #process
Variational Inference for Sequential Distance Dependent Chinese Restaurant Process (SB, DV), pp. 1404–1412.
ICMLICML-c2-2014-BenavoliCMZR #process
A Bayesian Wilcoxon signed-rank test based on the Dirichlet process (AB, GC, FM, MZ, FR), pp. 1026–1034.
ICMLICML-c2-2014-BratieresQNG #graph #grid #predict #process #scalability
Scalable Gaussian Process Structured Prediction for Grid Factor Graph Applications (SB, NQ, SN, ZG), pp. 334–342.
ICMLICML-c2-2014-CelikkayaS #markov #probability #process
Deterministic Anytime Inference for Stochastic Continuous-Time Markov Processes (EBC, CRS), pp. 1962–1970.
ICMLICML-c2-2014-ChwialkowskiG #independence #kernel #process #random
A Kernel Independence Test for Random Processes (KC, AG), pp. 1422–1430.
ICMLICML-c2-2014-ContalPV #optimisation #process
Gaussian Process Optimization with Mutual Information (EC, VP, NV), pp. 253–261.
ICMLICML-c2-2014-GalG #parallel #process
Pitfalls in the use of Parallel Inference for the Dirichlet Process (YG, ZG), pp. 208–216.
ICMLICML-c2-2014-GrandeWH #learning #performance #process
Sample Efficient Reinforcement Learning with Gaussian Processes (RCG, TJW, JPH), pp. 1332–1340.
ICMLICML-c2-2014-HaeffeleYV #algorithm #image #matrix #rank
Structured Low-Rank Matrix Factorization: Optimality, Algorithm, and Applications to Image Processing (BDH, EY, RV), pp. 2007–2015.
ICMLICML-c2-2014-HoangLJK #learning #process
Nonmyopic ϵ-Bayes-Optimal Active Learning of Gaussian Processes (TNH, BKHL, PJ, MSK), pp. 739–747.
ICMLICML-c2-2014-KimO #process #scalability
Hierarchical Dirichlet Scaling Process (DK, AHO), pp. 973–981.
ICMLICML-c2-2014-LindermanA #network #process
Discovering Latent Network Structure in Point Process Data (SWL, RPA), pp. 1413–1421.
ICMLICML-c2-2014-NakanoIKYU #process
Rectangular Tiling Process (MN, KI, AK, TY, NU), pp. 361–369.
ICMLICML-c2-2014-RodriguesPR #classification #learning #multi #process
Gaussian Process Classification and Active Learning with Multiple Annotators (FR, FCP, BR), pp. 433–441.
ICMLICML-c2-2014-SatoN #analysis #approximate #equation #probability #process #using
Approximation Analysis of Stochastic Gradient Langevin Dynamics by using Fokker-Planck Equation and Ito Process (IS, HN), pp. 982–990.
ICPRICPR-2014-AokiFKM #algorithm #architecture #visual notation
KIZUKI Processing for Visual Inspection: A Smart Pattern Pop-Out Algorithm Based on Human Visual Architecture (KA, TF, HK, YM), pp. 2317–2322.
ICPRICPR-2014-Filippone #classification #process #pseudo
Bayesian Inference for Gaussian Process Classifiers with Annealing and Pseudo-Marginal MCMC (MF), pp. 614–619.
ICPRICPR-2014-GuerreroR #process
Circular Regression Based on Gaussian Processes (PG, JRdS), pp. 3672–3677.
ICPRICPR-2014-MutimbuR #graph #image
Factor Graphs for Image Processing (LDM, ARK), pp. 1443–1448.
ICPRICPR-2014-SetitraL #algorithm #bibliography #perspective
Background Subtraction Algorithms with Post-processing: A Review (IS, SL), pp. 2436–2441.
KDDKDD-2014-ChengL #equivalence #parallel #process
Parallel gibbs sampling for hierarchical dirichlet processes via gamma processes equivalence (DC, YL), pp. 562–571.
KDDKDD-2014-LiDDCZ #identification #process
Identifying and labeling search tasks via query-based hawkes processes (LL, HD, AD, YC, HZ), pp. 731–740.
KDDKDD-2014-LiuGXXGP #modelling #probability #process #workflow
Proactive workflow modeling by stochastic processes with application to healthcare operation and management (CL, YG, HX, KX, WG, MP), pp. 1593–1602.
KDDKDD-2014-LiuTL #online #process
Online chinese restaurant process (CLL, THT, CHL), pp. 591–600.
KDDKDD-2014-RossCCD #clustering #process
Dual beta process priors for latent cluster discovery in chronic obstructive pulmonary disease (JCR, PJC, MHC, JGD), pp. 155–162.
KDDKDD-2014-ZhengZLJXLSZLTLDLW #data mining #mining #optimisation #process
Applying data mining techniques to address critical process optimization needs in advanced manufacturing (LZ, CZ, LL, YJ, WX, JL, CS, WZ, HL, LT, TL, BD, ML, PW), pp. 1739–1748.
KDIRKDIR-2014-Mustonen-Ollila14a #process
Actors and Factors in IS Process Innovation Decisions (EMO, JH, PP), pp. 202–209.
KEODKEOD-2014-Aalst #mining #people #process #what
No Knowledge Without Processes — Process Mining as a Tool to Find Out What People and Organizations Really Do (WMPvdA), pp. 1–11.
KEODKEOD-2014-Grabska #approach #concept #ontology #process
An Ontology based Approach for Assisting Conceptualisation in CAD Processes (EG), pp. 272–279.
KEODKEOD-2014-LietoMPRF #architecture #ontology #process
A Dual Process Architecture for Ontology-based Systems (AL, AM, AP, DPR, MF), pp. 48–55.
KEODKEOD-2014-LincolnW #process #repository
Business Process Search within Unstructured Repositories (ML, AW), pp. 467–474.
KEODKEOD-2014-NefziFFS #automation #ontology #process
A Semi-automatic Mapping Selection in the Ontology Alignment Process (HN, MF, IRF, BS), pp. 459–466.
KMISKMIS-2014-Grim-Yefsah #case study #information management #process #requirements #using
A First Step in Improving the Requirements Engineering Process by Using the Knowledge Management Perspective — Case Study from French Public Institute (MGY), pp. 280–288.
KMISKMIS-2014-ThomasN #concept #process
Quantitative Process Maps — A Concept for Prioritization of Business Process Improvement Projects (CT, TN), pp. 441–446.
KMISKMIS-2014-WoitB #collaboration #consistency #development #process #programming
Commitment and Consistency in the Collaborative Software Development Process of Extreme Programming (DMW, KJB), pp. 375–381.
KRKR-2014-MarrellaMS #adaptation #calculus #named #process
SmartPM: An Adaptive Process Management System through Situation Calculus, IndiGolog, and Classical Planning (AM, MM, SS).
RecSysRecSys-2014-JannachF #data mining #mining #modelling #process #recommendation
Recommendation-based modeling support for data mining processes (DJ, SF), pp. 337–340.
RecSysRecSys-2014-VanchinathanNBK #process #recommendation
Explore-exploit in top-N recommender systems via Gaussian processes (HPV, IN, FDB, AK), pp. 225–232.
SEKESEKE-2014-AssoudiL #approach #multi #process
A Multi-Agent-Based Approach for Autonomic Data Exchange Processes (HA, HL), pp. 334–337.
SEKESEKE-2014-ChourabiA #automation #process #specification
Automated transformation of business rules specification to business process model (OC, JA), pp. 684–687.
SEKESEKE-2014-DalliloAF #approach #multi #process
Making the link between strategy and process model collections: a multi-layered approach (FD, JPdA, MF), pp. 387–392.
SEKESEKE-2014-FernandesALG #modelling #probability #process #reduction
A Dimensionality Reduction Process to Forecast Events through Stochastic Models (PF, JA, LL, SG), pp. 534–539.
SEKESEKE-2014-GayedLB #case study #forensics #lightweight #ontology #process #using
Creating Proprietary Terms Using Lightweight Ontology: A Case Study on Acquisition Phase in a Cyber Forensic Process (TFG, HL, MB), pp. 76–81.
SEKESEKE-2014-JuniorCMS #data analysis #gpu #repository
Exploratory Data Analysis of Software Repositories via GPU Processing (JRDSJ, EC, LM, AS), pp. 495–500.
SEKESEKE-2014-KrollRA #development #process
Proposing a Software Process Model for Follow the Sun Development (JK, IR, JLNA), pp. 412–415.
SEKESEKE-2014-LeungLZ #process #scheduling
Snowball Effects on Risk Mitigation Scheduling: Process and Tool (HKNL, KML, PZ), pp. 582–585.
SEKESEKE-2014-LingZF #approach #modelling #process #similarity
An Improved Structure-based Approach to Measure Similarity of Business Process Models (JL, LZ, QF), pp. 377–380.
SEKESEKE-2014-SchotsRS #analysis #performance #process
A Body of Knowledge for Executing Performance Analysis of Software Processes (NCLS, ARR, GS), pp. 560–565.
SIGIRSIGIR-2014-NguyenKB #process #recommendation
Gaussian process factorization machines for context-aware recommendations (TVN, AK, LB), pp. 63–72.
SIGIRSIGIR-2014-PetriMC #hybrid
Score-safe term-dependency processing with hybrid indexes (MP, AM, JSC), pp. 899–902.
SIGIRSIGIR-2014-ZhangCT #keyword #query
Processing spatial keyword query as a top-k aggregation query (DZ, CYC, KLT), pp. 355–364.
ECMFAECMFA-2014-LaurentBBG #alloy #framework #process #verification
Alloy4SPV : A Formal Framework for Software Process Verification (YL, RB, SB, MPG), pp. 83–100.
ECMFAECMFA-2014-Ritter #case study #experience #integration #modelling #process
Experiences with Business Process Model and Notation for Modeling Integration Patterns (DR), pp. 254–266.
MODELSMoDELS-2014-ChavarriagaNCJ #detection #multi #process
Propagating Decisions to Detect and Explain Conflicts in a Multi-step Configuration Process (JC, CN, RC, VJ), pp. 337–352.
MODELSMoDELS-2014-DavidRV #model transformation #streaming
Streaming Model Transformations By Complex Event Processing (ID, IR, DV), pp. 68–83.
MODELSMoDELS-2014-ChavarriagaNCJ #detection #multi #process
Propagating Decisions to Detect and Explain Conflicts in a Multi-step Configuration Process (JC, CN, RC, VJ), pp. 337–352.
MODELSMoDELS-2014-DavidRV #model transformation #streaming
Streaming Model Transformations By Complex Event Processing (ID, IR, DV), pp. 68–83.
ECOOPECOOP-2014-VaziriTRSH #spreadsheet
Stream Processing with a Spreadsheet (MV, OT, RR, PS, MH), pp. 360–384.
OOPSLAOOPSLA-2014-JonnalageddaCSRO #combinator #parsing #performance #staged
Staged parser combinators for efficient data processing (MJ, TC, SS, TR, MO), pp. 637–653.
PPDPPPDP-2014-DownenMAV #continuation #process
Continuations, Processes, and Sharing (PD, LM, ZMA, DV), pp. 69–80.
QAPLQAPL-2014-MiculanP #aspect-oriented #nondeterminism #process
GSOS for non-deterministic processes with quantitative aspects (MM, MP), pp. 17–33.
QAPLQAPL-2014-TschaikowskiT #algebra #biology #difference #performance #process
Extended Differential Aggregations in Process Algebra for Performance and Biology (MT, MT), pp. 34–47.
RERE-2014-Buksa #process
Business processes and regulations compliance management technology (IB), pp. 489–493.
RERE-2014-WittFSH #process #validation #verification
Business Application Modeler: A process model Validation and Verification tool (SW, SF, AS, CH), pp. 333–334.
SACSAC-2014-BillhardtLOBD
Intelligent event processing for emergency medical assistance (HB, ML, SO, RB, JD), pp. 200–206.
SACSAC-2014-CogniniCGPR #adaptation #challenge #process #research
Research challenges in business process adaptability (RC, FC, SG, AP, BR), pp. 1049–1054.
SACSAC-2014-EvermannA #algorithm #big data #implementation #mining #process
Big data meets process mining: implementing the alpha algorithm with map-reduce (JE, GA), pp. 1414–1416.
SACSAC-2014-FdhilaR #co-evolution #collaboration #predict #process
Predicting change propagation impacts in collaborative business processes (WF, SRM), pp. 1378–1385.
SACSAC-2014-HamerW #scalability
Large scale processing of landsat data on various software platforms (GH, JW), pp. 1547–1549.
SACSAC-2014-KolbZWR #design #distance #empirical #how #modelling #process #social
How social distance of process designers affects the process of process modeling: insights from a controlled experiment (JK, MZ, BW, MR), pp. 1364–1370.
SACSAC-2014-LabdaMS #modelling #privacy #process
Modeling of privacy-aware business processes in BPMN to protect personal data (WL, NM, PS), pp. 1399–1405.
SACSAC-2014-LaurentBBG #declarative #process
Planning for declarative processes (YL, RB, SB, MPG), pp. 1126–1133.
SACSAC-2014-MolkaRDCZG #consistency #modelling #process
Conformance checking for BPMN-based process models (TM, DR, MD, AC, XJZ, WG), pp. 1406–1413.
SACSAC-2014-QuilleTR #analysis
Spectral analysis and text processing over the computer science literature: patterns and discoveries (RVEQ, CTJ, JFRJ), pp. 653–657.
SACSAC-2014-RaigozaS
Temporal join processing with hilbert curve space mapping (JR, JS), pp. 839–844.
SACSAC-2014-RaneburgerKPSA #automation #design #generative #interactive #process #user interface
A process for facilitating interaction design through automated GUI generation (DR, HK, RP, VS, AA), pp. 1324–1330.
SACSAC-2014-Rohrig #domain-specific language #process #scheduling
A domain specific language for process scheduling (DR), pp. 1550–1551.
SACSAC-2014-SaarsenD #assessment #process #towards
Towards an assessment model for balancing process model production and use (TS, MD), pp. 1386–1392.
SACSAC-2014-WangWPSC #collaboration #network #process
A collaborative processes synchronization method with regards to system crashes and network failures (LW, AW, LFP, MvS, CC), pp. 1393–1398.
SACSAC-2014-ZhaoXKLL #debugging #empirical #process
An empirical study of bugs in build process (XZ, XX, PSK, DL, SL), pp. 1187–1189.
SPLCSPLC-2014-MennickeLSW #automation #feature model #petri net #process #verification #workflow
Automated verification of feature model configuration processes based on workflow Petri nets (SM, ML, JS, TW), pp. 62–71.
SPLCSPLC-2014-Tribastone #algebra #behaviour #process
Behavioral relations in a process algebra for variants (MT), pp. 82–91.
ASPLOSASPLOS-2014-Gehlhaar #architecture #future of #scalability
Neuromorphic processing: a new frontier in scaling computer architecture (JG), pp. 317–318.
ASPLOSASPLOS-2014-WuLPKR #architecture #database #design #named
Q100: the architecture and design of a database processing unit (LW, AL, TKP, MAK, KAR), pp. 255–268.
CCCC-2014-PrinzSA #compilation #process #towards
A First Step towards a Compiler for Business Processes (TMP, NS, WA), pp. 238–243.
CGOCGO-2014-HongSWO #domain-specific language #graph #scalability
Simplifying Scalable Graph Processing with a Domain-Specific Language (SH, SS, JW, KO), p. 208.
CGOCGO-2014-WuDSABGY #execution #query #relational
Red Fox: An Execution Environment for Relational Query Processing on GPUs (HW, GFD, TS, MA, SB, MG, SY), p. 44.
HPCAHPCA-2014-AgrawalAT #energy #locality #named #process
Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules (AA, AA, JT), pp. 84–95.
HPDCHPDC-2014-ChenDWCZG #communication #distributed #graph #performance #perspective
Computation and communication efficient graph processing with distributed immutable view (RC, XD, PW, HC, BZ, HG), pp. 215–226.
HPDCHPDC-2014-KhorasaniVGB #graph #named
CuSha: vertex-centric graph processing on GPUs (FK, KV, RG, LNB), pp. 239–252.
HPDCHPDC-2014-XueYQHD #concurrent #graph #low cost #named #performance
Seraph: an efficient, low-cost system for concurrent graph processing (JX, ZY, ZQ, SH, YD), pp. 227–238.
HPDCHPDC-2014-ZhangJLGXI #in memory #memory management #named #programmable
TOP-PIM: throughput-oriented programmable processing in memory (DPZ, NJ, AL, JLG, LX, MI), pp. 85–98.
ISMMISMM-2014-UgawaJR #garbage collection #on the fly
Reference object processing in on-the-fly garbage collection (TU, REJ, CGR), pp. 59–69.
OSDIOSDI-2014-GonzalezXDCFS #data flow #distributed #framework #graph #named
GraphX: Graph Processing in a Distributed Dataflow Framework (JEG, RSX, AD, DC, MJF, IS), pp. 599–613.
LICSLICS-CSL-2014-BilokonE #approach #probability #process
A domain-theoretic approach to Brownian motion and general continuous stochastic processes (PB, AE), p. 10.
RTARTA-TLCA-2014-HondaYB #interactive #process #π-calculus
Process Types as a Descriptive Tool for Interaction — Control and the π-Calculus (KH, NY, MB), pp. 1–20.
VMCAIVMCAI-2014-Song0G #bisimulation #logic #markov #process
Bisimulations and Logical Characterizations on Continuous-Time Markov Decision Processes (LS, LZ, JCG), pp. 98–117.
QoSAQoSA-2013-FeugasMD #evolution #predict #process #quality
A causal model to predict the effect of business process evolution on quality of service (AF, SM, LD), pp. 143–152.
CASECASE-2013-BrownB #process
A queuing model for systems with rework and process downtime (AJB, FB), pp. 789–794.
CASECASE-2013-ChenCLZZF #assembly #automation #performance #process
Performance improvement for high accuracy assembly process in manufacturing automation (HC, HC, JL, BZ, GZ, TAF), pp. 540–545.
CASECASE-2013-ChenTSPSSN #design #optimisation
Optimization processing unit (OPU) applied to integrated circuit design and manufacturing (DCLC, JTT, DBS, SWP, MHS, KTS, PN), pp. 1008–1015.
CASECASE-2013-ChoiZFWT #industrial #requirements
Applications and requirements of industrial robots in meat processing (SC, GZ, TAF, TW, RT), pp. 1107–1112.
CASECASE-2013-JiaJL #algorithm #scheduling
A job-family-oriented algorithm for re-entrant batch processing machine scheduling (WJ, ZJ, YL), pp. 1022–1027.
CASECASE-2013-RoyS #constraints #generative #process
Generative process planning with environmental constraints (UR, SS), pp. 534–539.
CASECASE-2013-SustoJOM #multi #predict #process
Virtual metrology enabled early stage prediction for enhanced control of multi-stage fabrication processes (GAS, ABJ, PGO, SFM), pp. 201–206.
CASECASE-2013-XieLSD #analysis #approach #markov #modelling #process
Modeling and analysis of hospital inpatient rescue process: A Markov chain approach (XX, JL, CHS, YD), pp. 978–983.
CASECASE-2013-YanLS #detection #fault #process #statistics
Fault detection of cooling coils based on unscented Kalman filters and statistical process control (YY, PBL, BS), pp. 237–242.
CASECASE-2013-YiTLL #optimisation #parametricity
Optimization of CNC machine processing parameters for low carbon manufacturing (QY, YT, CL, PL), pp. 498–503.
CASECASE-2013-YueH13a #assembly #concurrent #petri net #policy #polynomial #process
A polynomial deadlock avoidance policy for a class of assembly processes based on Petri nets (HY, HH), pp. 1151–1156.
CASECASE-2013-ZhongLEHF #analysis #modelling #process #testing
Modeling and analysis of mammography testing process at a breast imaging center of University of Wisconsin medical foundation (XZ, JL, SME, CH, LF), pp. 611–616.
DACDAC-2013-AlaghiLH #probability #realtime
Stochastic circuits for real-time image-processing applications (AA, CL, JPH), p. 6.
DACDAC-2013-HillsZMSWWM #agile #design #guidelines
Rapid exploration of processing and design guidelines to overcome carbon nanotube variations (GH, JZ, CM, MMS, HW, HSPW, SM), p. 10.
DACDAC-2013-Kahng #design #process #roadmap
The ITRS design technology and system drivers roadmap: process and status (ABK), p. 6.
DACDAC-2013-RaiSST #algorithm #analysis #distributed #network #process
Distributed stable states for process networks: algorithm, analysis, and experiments on intel SCC (DR, LS, NS, LT), p. 10.
DACDAC-2013-TajikHD #3d #architecture #manycore #named #process
VAWOM: temperature and process variation aware wearout management in 3D multicore architecture (HT, HH, ND), p. 8.
DATEDATE-2013-ChangWB #design
Process-variation-aware Iddq diagnosis for nano-scale CMOS designs — the first step (CLC, CHPW, JB), pp. 454–457.
DATEDATE-2013-ChenRSIFC #analysis #process
A SPICE-compatible model of graphene nano-ribbon field-effect transistors enabling circuit-level delay and power analysis under process variation (YYC, AR, AS, GI, GF, DC), pp. 1789–1794.
DATEDATE-2013-ChenWLL #automation #flexibility #process
Automatic circuit sizing technique for the analog circuits with flexible TFTs considering process variation and bending effects (YLC, WRW, GRL, CNJL), pp. 1458–1461.
DATEDATE-2013-GhaidaG #design #development #multi #process
Role of design in multiple patterning: technology development, design enablement and process control (RSG, PG), pp. 314–319.
DATEDATE-2013-Hara-AzumiFKT #process
Instruction-set extension under process variation and aging effects (YHA, FF, SK, MBT), pp. 182–187.
DATEDATE-2013-Kae-NuneP #anti #process #testing
Qualification and testing process to implement anti-counterfeiting technologies into IC packages (NKN, SP), pp. 1131–1136.
DATEDATE-2013-MagarshackFC #design #energy #process
UTBB FD-SOI: a process/design symbiosis for breakthrough energy-efficiency (PM, PF, GC), pp. 952–957.
DATEDATE-2013-RaghunathanTGM #multi #named #process
Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors (BR, YT, SG, DM), pp. 39–44.
DATEDATE-2013-ZhaoOX #process #synthesis
Profit maximization through process variation aware high level synthesis with speed binning (MZ, AO, CJX), pp. 176–181.
DocEngDocEng-2013-Esposito #documentation #machine learning
Symbolic machine learning methods for historical document processing (FE), pp. 1–2.
DRRDRR-2013-TaghvaPM #higher-order #markov #modelling
Post processing with first- and second-order hidden Markov models (KT, SP, SM).
ICDARICDAR-2013-FornesOL #image #multi
Show-Through Cancellation and Image Enhancement by Multiresolution Contrast Processing (AF, XO, JL), pp. 200–204.
ICDARICDAR-2013-RomeroS13a #evaluation #process
Human Evaluation of the Transcription Process of a Marriage License Book (VR, JAS), pp. 1255–1259.
ICDARICDAR-2013-ShiSG #documentation #framework #image #modelling
A Model Based Framework for Table Processing in Degraded Document Images (ZS, SS, VG), pp. 963–967.
ICDARICDAR-2013-StammLD #analysis #approach #documentation #multi #partial order
Continuous Partial-Order Planning for Multichannel Document Analysis: A Process-Driven Approach (KS, ML, AD), pp. 626–630.
PODSPODS-2013-BeameKS #communication #parallel #query
Communication steps for parallel query processing (PB, PK, DS), pp. 273–284.
PODSPODS-2013-CalvaneseGM #analysis #database #perspective #process
Foundations of data-aware process analysis: a database theory perspective (DC, GDG, MM), pp. 1–12.
SIGMODSIGMOD-2013-DeWittHNSAAFG #query
Split query processing in polybase (DJD, AH, RVN, SS, JAS, AA, MF, JG), pp. 1255–1266.
SIGMODSIGMOD-2013-DoKPPPD #challenge #query
Query processing on smart SSDs: opportunities and challenges (JD, YSK, JMP, CP, KP, DJD), pp. 1221–1230.
SIGMODSIGMOD-2013-EwenSTWM #parallel
Iterative parallel data processing with stratosphere: an inside look (SE, SS, KT, DW, VM), pp. 1053–1056.
SIGMODSIGMOD-2013-FernandezMKP #fault tolerance #using
Integrating scale out and fault tolerance in stream processing using operator state management (RCF, MM, EK, PP), pp. 725–736.
SIGMODSIGMOD-2013-HullSV #bibliography #data transformation #perspective #process #tutorial
Data management perspectives on business process management: tutorial overview (RH, JS, RV), pp. 943–948.
SIGMODSIGMOD-2013-HungBTCZ #named #network #query #scalability #visual notation
QUBLE: blending visual subgraph query formulation with query processing on large networks (HHH, SSB, BQT, BC, SZ), pp. 1097–1100.
SIGMODSIGMOD-2013-KaufmannMVFKFM #data type #query #timeline
Timeline index: a unified data structure for processing queries on temporal data in SAP HANA (MK, AAM, PV, PMF, DK, FF, NM), pp. 1173–1184.
SIGMODSIGMOD-2013-KissingerSHL #query
Query processing on prefix trees live (TK, BS, DH, WL), pp. 1105–1108.
SIGMODSIGMOD-2013-LiGC #named #realtime #sequence
ε-Matching: event processing over noisy sequences in real time (ZL, TG, CXC), pp. 601–612.
SIGMODSIGMOD-2013-LiP #in memory #memory management #named #performance
BitWeaving: fast scans for main memory data processing (YL, JMP), pp. 289–300.
SIGMODSIGMOD-2013-LiuTZSLKM #comparison #multi #named #process #semantics
CTrace: semantic comparison of multi-granularity process traces (QL, KT, XZ, GS, XL, CK, RM), pp. 1121–1124.
SIGMODSIGMOD-2013-ZhangGBFRP #named #statistics #using
GeoDeepDive: statistical inference using familiar data-processing languages (CZ, VG, JB, TF, CR, SP), pp. 993–996.
SIGMODSIGMOD-2013-ZhangKM #array #named
SciQL: array data processing inside an RDBMS (YZ, MLK, SM), pp. 1049–1052.
VLDBVLDB-2013-AilamakiJPT #scalability #towards #transaction
Toward Scalable Transaction Processing (AA, RJ, IP, PT), pp. 1192–1193.
VLDBVLDB-2013-AkidauBBCHLMMNW #fault tolerance #internet #named
MillWheel: Fault-Tolerant Stream Processing at Internet Scale (TA, AB, KB, SC, JH, RL, SM, DM, PN, SW), pp. 1033–1044.
VLDBVLDB-2013-ArmenatzoglouPP #framework #query
A General Framework for Geo-Social Query Processing (NA, SP, DP), pp. 913–924.
VLDBVLDB-2013-BotheKV #named #query
eSkyline: Processing Skyline Queries over Encrypted Data (SB, PK, AV), pp. 1338–1341.
VLDBVLDB-2013-Bress #gpu #hybrid #performance #query #why
Why it is time for a HyPE: A Hybrid Query Processing Engine for Efficient GPU Coprocessing in DBMS (SB), pp. 1398–1403.
VLDBVLDB-2013-BrunoJZ #optimisation #query
Continuous Cloud-Scale Query Optimization and Processing (NB, SJ, JZ), pp. 961–972.
VLDBVLDB-2013-ChenCJW #evaluation #keyword #query
Spatial Keyword Query Processing: An Experimental Evaluation (LC, GC, CSJ, DW), pp. 217–228.
VLDBVLDB-2013-DeutchMT #analysis #named #process
PROPOLIS: Provisioned Analysis of Data-Centric Processes (DD, YM, VT), pp. 1302–1305.
VLDBVLDB-2013-HeLH #architecture #cpu #gpu
Revisiting Co-Processing for Hash Joins on the Coupled CPU-GPU Architecture (JH, ML, BH), pp. 889–900.
VLDBVLDB-2013-HendawiBM #framework #named #network #predict #query #scalability
iRoad: A Framework For Scalable Predictive Query Processing On Road Networks (AMH, JB, MFM), pp. 1262–1265.
VLDBVLDB-2013-Kaufmann #in memory #memory management
Storing and Processing Temporal Data in a Main Memory Column Store (MK), pp. 1444–1449.
VLDBVLDB-2013-KaufmannVFKF #interactive #query
Comprehensive and Interactive Temporal Query Processing with SAP HANA (MK, PV, PMF, DK, FF), pp. 1210–1213.
VLDBVLDB-2013-MoyersSWKVBC #analysis #array #image #parallel
A Demonstration of Iterative Parallel Array Processing in Support of Telescope Image Analysis (MM, ES, SW, KSK, JV, MB, AJC), pp. 1322–1325.
VLDBVLDB-2013-OgdenTP #automaton #parallel #query #scalability #transducer #using #xml
Scalable XML Query Processing using Parallel Pushdown Transducers (PO, DBT, PP), pp. 1738–1749.
VLDBVLDB-2013-SarwatEHM #declarative #distributed #graph #query #reachability
Horton+: A Distributed System for Processing Declarative Reachability Queries over Partitioned Graphs (MS, SE, YH, MFM), pp. 1918–1929.
VLDBVLDB-2013-TuKMZ #query
Processing Analytical Queries over Encrypted Data (ST, MFK, SM, NZ), pp. 289–300.
VLDBVLDB-2013-Viglas #compilation #query #sql
Just-in-time compilation for SQL query processing (SV), pp. 1190–1191.
VLDBVLDB-2013-YuanL0 #gpu #query
The Yin and Yang of Processing Data Warehousing Queries on GPU Devices (YY, RL, XZ), pp. 817–828.
VLDBVLDB-2013-ZhangHHL #architecture #cpu #gpu #named #parallel #performance #query #towards
OmniDB: Towards Portable and Efficient Query Processing on Parallel CPU/GPU Architectures (SZ, JH, BH, ML), pp. 1374–1377.
VLDBVLDB-2013-ZhongH #graph #parallel
Parallel Graph Processing on Graphics Processors Made Easy (JZ, BH), pp. 1270–1273.
VLDBVLDB-2014-CaoR13 #clustering #performance #query
High Performance Stream Query Processing With Correlation-Aware Partitioning (LC, EAR), pp. 265–276.
CSEETCSEET-2013-BollinHS #assurance #development #education #process #simulation
Teaching software development processes by simulation: Wuality assurance as a factor of success (AB, EH, LS), pp. 362–364.
CSEETCSEET-2013-CiancariniDZ #case study #comparative #modelling #process #student
A double comparative study: Process models and student skills (PC, CD, SZ), pp. 189–198.
CSEETCSEET-2013-EterovicGB #approach #education #process
Teaching software processes to professionals: The approach taken by an evening master’s degree program (YE, GG, JB), pp. 309–313.
CSEETCSEET-2013-RongZS #education #game studies #process
Applying competitive bidding games in software process education (GR, HZ, DS), pp. 129–138.
ITiCSEITiCSE-2013-BundeDS #assessment #process
Making the most of the assessment process (DPB, JFD, JS), p. 359.
ITiCSEITiCSE-2013-MatsuzawaOS #process #programming #student #visualisation
Programming process visualizer: a proposal of the tool for students to observe their programming process (YM, KO, SS), pp. 46–51.
ESOPESOP-2013-PetersNG #calculus #on the #process
On Distributability in Process Calculi (KP, UN, UG), pp. 310–329.
ESOPESOP-2013-ToninhoCP #higher-order #integration #monad #process
Higher-Order Processes, Functions, and Sessions: A Monadic Integration (BT, LC, FP), pp. 350–369.
FASEFASE-2013-AutiliRSIT #modelling #process #synthesis
A Model-Based Synthesis Process for Choreography Realizability Enforcement (MA, DDR, ADS, PI, MT), pp. 37–52.
FASEFASE-2013-LeoniDG #branch #execution #process
Discovering Branching Conditions from Business Process Execution Logs (MdL, MD, LGB), pp. 114–129.
FASEFASE-2013-StruberTJS #distributed #modelling #process #towards
Towards a Distributed Modeling Process Based on Composite Models (DS, GT, SJ, TS), pp. 6–20.
FoSSaCSFoSSaCS-2013-ClementeHSS #communication #process #reachability
Reachability of Communicating Timed Processes (LC, FH, AS, GS), pp. 81–96.
FoSSaCSFoSSaCS-2013-DreierELL #composition #on the #process #π-calculus
On Unique Decomposition of Processes in the Applied π-Calculus (JD, CE, PL, YL), pp. 50–64.
FoSSaCSFoSSaCS-2013-HainryMP #analysis #complexity #process #type system
Type-Based Complexity Analysis for Fork Processes (EH, JYM, RP), pp. 305–320.
FoSSaCSFoSSaCS-2013-MioS #composition #concurrent #probability #process #proving #verification
A Proof System for Compositional Verification of Probabilistic Concurrent Processes (MM, AS), pp. 161–176.
CSMRCSMR-2013-Molitorisz #process #refactoring #source code
Pattern-Based Refactoring Process of Sequential Source Code (KM), pp. 357–360.
CSMRCSMR-2013-PengABCTR #framework #named #process
MDO: Framework for Context-Aware Process Mobility in Building-Maintenance Domain (TP, GA, DB, AC, TJT, MR), pp. 449–452.
ICPCICPC-2013-YamanakaCYIS #development #industrial #process
Applying clone change notification system into an industrial development process (YY, EC, NY, KI, TS), pp. 199–206.
ICSMEICSM-2013-ToroiRV #case study #identification #process #testing
Identifying Process Improvement Targets in Test Processes: A Case Study (TT, AR, LV), pp. 11–19.
PLDIPLDI-2013-Ragan-KelleyBAPDA #compilation #image #locality #named #optimisation #parallel #pipes and filters
Halide: a language and compiler for optimizing parallelism, locality, and recomputation in image processing pipelines (JRK, CB, AA, SP, FD, SPA), pp. 519–530.
SASSAS-2013-KimDS #documentation #html #parsing #semantics #validation
Static Validation of Dynamically Generated HTML Documents Based on Abstract Parsing and Semantic Processing (HK, KGD, DAS), pp. 194–214.
ICALPICALP-v2-2013-Basset #automaton #probability #process
A Maximal Entropy Stochastic Process for a Timed Automaton, (NB), pp. 61–73.
LATALATA-2013-BiondiLNW #markov #process
Maximizing Entropy over Markov Processes (FB, AL, BFN, AW), pp. 128–140.
IFLIFL-2013-GrelckW #adaptation #array #functional #generative #performance
Next Generation Asynchronous Adaptive Specialization for Data-Parallel Functional Array Processing in SAC: Accelerating the Availability of Specialized High Performance Code (CG, HW), p. 117.
CHICHI-2013-KelleyCS #privacy #process
Privacy as part of the app decision-making process (PGK, LFC, NMS), pp. 3393–3402.
CSCWCSCW-2013-Al-AniBWTKMRP #developer #distributed #process #trust
Globally distributed system developers: their trust expectations and processes (BAA, MJB, YW, ET, BK, SM, DFR, RP), pp. 563–574.
CSCWCSCW-2013-NguyenF #communication #process
Effect of message content on communication processes in intercultural and same-culture instant messaging conversations (DTN, SRF), pp. 19–32.
CSCWCSCW-2013-TowneKKH #process #quality #wiki
Your process is showing: controversy management and perceived quality in wikipedia (WBT, AK, PK, JDH), pp. 1059–1068.
HCIDHM-HB-2013-BazleyB #information management #process
A Knowledge Transfer Process: Establishing Training in New Technology for an Ageing Workforce (CMB, DB), pp. 3–9.
HCIDHM-SET-2013-MazzolaMBPAPP #process
Explicit Tracking in the Diagnostic Process for Hand Dermatological Practices (LM, SM, SB, FP, FA, ODP, PP), pp. 248–257.
HCIDUXU-CXC-2013-MartinsG #information management #process
Information Accessibility in Museums with a Focus on Technology and Cognitive Process (LBM, FG), pp. 257–265.
HCIDUXU-CXC-2013-SchoperH #design #human-computer #lessons learnt #process #project management
Lessons from Intercultural Project Management for the Intercultural HCI Design Process (YS, RH), pp. 95–104.
HCIDUXU-PMT-2013-CamposLNCS #process
The Conjunction Fallacy and Its Impacts in the User’s Data Acquisition Process (FC, DL, MN, WC, MMS), pp. 203–211.
HCIDUXU-PMT-2013-ChangL #design #modelling #process
Design Process and Knowledge Searching Model Based on User Creativity (CLC, DBL), pp. 469–478.
HCIDUXU-PMT-2013-ChiuT #design #effectiveness #idea #network #process #social
User Involvement in Idea Brainstorming of Design Process: Finding the Effective Strategy in Social Network Service (SCC, KT), pp. 593–598.
HCIDUXU-PMT-2013-KangS #case study #design #how #process
How to Observe, Share and Apply in Design Process? — Focusing on International Design Workshops as a Case Study (NK, HS), pp. 498–505.
HCIDUXU-PMT-2013-LuhCK #concept #design #development #process
A Design Process for New Concept Development (DBL, F(HC, V(HK), pp. 545–553.
HCIDUXU-WM-2013-CagiltayAOE #certification #challenge #process
Developing ISO 9241-151 Product Certification Process: Challenges (, ÖA, NO, FE), pp. 334–341.
HCIDUXU-WM-2013-InoueHSAFY #concept #design #interface #process
Developing a Concept Interface Design of ATM Systems Based on Human-Centred Design Processes (SI, HH, TS, HA, YF, KY), pp. 506–514.
HCIDUXU-WM-2013-LeNDP #interactive #process
Scaffolding Computer Supported Argumentation Processes through Mini Map Based Interaction Techniques (NTL, SN, DD, NP), pp. 533–542.
HCIDUXU-WM-2013-LoLC #design #effectiveness #interface #named #process
dJOE: design Jigsaw On sitE — A Computational Interface of Displacing Ideas in the Design Productive Process (CHNL, ICL, TWC), pp. 402–407.
HCIDUXU-WM-2013-OliveiraKHO #design #development #experience #process
Design of Experience: Measuring the Co-production with the Consumer Engagement during the Product Development Process (STdO, VK, AH, MLLRO), pp. 414–423.
HCIHCI-AMTE-2013-FedericiBM #assessment #evaluation #framework #interactive #process
Environmental Evaluation of a Rehabilitation Aid Interaction under the Framework of the Ideal Model of Assistive Technology Assessment Process (SF, SB, MLM), pp. 203–210.
HCIHCI-AMTE-2013-FleischmannSS #execution #modelling #process #semantics
Semantic Execution of Subject-Oriented Process Models (AF, WS, CS), pp. 330–339.
HCIHCI-AMTE-2013-McDougallR #predict
Ease of Icon Processing Can Predict Icon Appeal (SM, IR), pp. 575–584.
HCIHCI-AMTE-2013-OhKHJ #design #perspective #process
User Centered Inclusive Design Process: A “Situationally-Induced Impairments and Disabilities” Perspective (HJO, HCK, HH, YGJ), pp. 103–108.
HCIHCI-AS-2013-CarvalhoLCAMCAL #mobile #process #re-engineering
Software Engineering in Telehealth, an Extension of Sana Mobile Applied to the Process of a Routine Hospital (AVdC, CJPdL, EJRC, PHCA, PAdSeSM, GRdC, FRLdA, GVCL), pp. 3–12.
HCIHCI-AS-2013-KrauseB #information management #process #using
Subjective Ratings in an Ergonomic Engineering Process Using the Example of an In-Vehicle Information System (MK, KB), pp. 596–605.
HCIHCI-AS-2013-LekkasGTMS #behaviour #component #experience #how #learning #process
Personality and Emotion as Determinants of the Learning Experience: How Affective Behavior Interacts with Various Components of the Learning Process (ZL, PG, NT, CM, GS), pp. 418–427.
HCIHCI-AS-2013-LimongelliLMS #education #process
A Teacher Model to Speed Up the Process of Building Courses (CL, ML, AM, FS), pp. 434–443.
HCIHCI-IMT-2013-AlexandrisM #human-computer #multi
Linguistic Processing of Implied Information and Connotative Features in Multilingual HCI Applications (CA, IM), pp. 13–22.
HCIHCI-UC-2013-KleinS #approach #development #industrial #process #social #social media
Social Media in the Product Development Process of the Automotive Industry: A New Approach (AK, GS), pp. 396–401.
HCIHCI-UC-2013-UangL #design #development #process
The Development of an Innovative Design Process for Eco-efficient Green Products (STU, CLL), pp. 475–483.
HCIHIMI-D-2013-TakemoriYST #interactive #learning #modelling #process
Modeling a Human’s Learning Processes to Support Continuous Learning on Human Computer Interaction (KT, TY, KS, KT), pp. 555–564.
HCIHIMI-HSM-2013-ChunJHJSLCJ #natural language #using
Pathway Construction and Extension Using Natural Language Processing (HWC, SJJ, MNH, CHJ, SKS, SL, SPC, HJ), pp. 32–38.
HCIHIMI-LCCB-2013-BrynielssonJL #communication #design #process #prototype #social #social media #using #video
Using Video Prototyping as a Means to Involve Crisis Communication Personnel in the Design Process: Innovating Crisis Management by Creating a Social Media Awareness Tool (JB, FJ, SL), pp. 559–568.
HCIHIMI-LCCB-2013-WatabeMH #process
Application to Help Learn the Process of Transforming Mathematical Expressions with a Focus on Study Logs (TW, YM, YH), pp. 157–164.
HCIHIMI-LCCB-2013-WuN #interactive #process #recommendation
Integrating the Anchoring Process with Preference Stability for Interactive Movie Recommendations (ICW, YFN), pp. 639–648.
AdaEuropeAdaEurope-2013-BicchieraiBNV #development #functional #integration #ontology #process #safety #using
Using Ontologies in the Integration of Structural, Functional, and Process Perspectives in the Development of Safety Critical Systems (IB, GB, CN, EV), pp. 95–108.
HILTHILT-2013-WardRL #approach #integration #process
An approach to integration of complex systems: the SAVI virtual integration process (DTW, DAR, BAL), pp. 43–46.
CAiSECAiSE-2013-BeheshtiBN #ad hoc #analysis #aspect-oriented #process
Enabling the Analysis of Cross-Cutting Aspects in Ad-Hoc Processes (SMRB, BB, HRMN), pp. 51–67.
CAiSECAiSE-2013-ConfortiLRA #execution #process
Supporting Risk-Informed Decisions during Business Process Execution (RC, MdL, MLR, WMPvdA), pp. 116–132.
CAiSECAiSE-2013-Eid-SabbaghW #architecture #process
Analyzing Business Process Architectures (RHES, MW), pp. 208–223.
CAiSECAiSE-2013-Kabicher-FuchsMR #experience #information management
Experience Breeding in Process-Aware Information Systems (SKF, JM, SRM), pp. 594–609.
CAiSECAiSE-2013-KapurugeHCK13a #ad hoc #adaptation #process
Enabling Ad-hoc Business Process Adaptations through Event-Driven Task Decoupling (MK, JH, AC, IK), pp. 384–399.
CAiSECAiSE-2013-MeyerRM #internet #modelling #process
Internet of Things-Aware Process Modeling: Integrating IoT Devices as Business Process Resources (SM, AR, CM), pp. 84–98.
CAiSECAiSE-2013-MilaniDM #composition #modelling #process
Decomposition Driven Consolidation of Process Models (FM, MD, RM), pp. 193–207.
CAiSECAiSE-2013-PetruselM #comprehension #process
Eye-Tracking the Factors of Process Model Comprehension Tasks (RP, JM), pp. 224–239.
CAiSECAiSE-2013-PikaAFHW #process #profiling
Profiling Event Logs to Configure Risk Indicators for Process Delays (AP, WMPvdA, CJF, AHMtH, MTW), pp. 465–481.
CAiSECAiSE-2013-RamirezBVW #generative #multi #process
Generating Multi-objective Optimized Business Process Enactment Plans (AJR, IB, CDV, BW), pp. 99–115.
CAiSECAiSE-2013-RiemerSS #design #performance
A Methodology for Designing Events and Patterns in Fast Data Processing (DR, NS, LS), pp. 133–148.
CAiSECAiSE-2013-SuriadiWOHD #behaviour #case study #comprehension #process #scalability
Understanding Process Behaviours in a Large Insurance Company in Australia: A Case Study (SS, MTW, CO, AHMtH, NJvD), pp. 449–464.
EDOCEDOC-2013-0001W #modelling #process
Extracting Data Objects and Their States from Process Models (AM, MW), pp. 27–36.
EDOCEDOC-2013-Herzberg0W #framework #process
An Event Processing Platform for Business Process Management (NH, AM, MW), pp. 107–116.
EDOCEDOC-2013-LenhardW #execution #process
Measuring the Portability of Executable Service-Oriented Processes (JL, GW), pp. 117–126.
EDOCEDOC-2013-NagelGEP #consistency #modelling #process
Ensuring Consistency among Business Goals and Business Process Models (BN, CG, GE, JP), pp. 17–26.
EDOCEDOC-2013-NowakBLU #power management #process #re-engineering
Determining Power Consumption of Business Processes and Their Activities to Enable Green Business Process Reengineering (AN, TB, FL, NU), pp. 259–266.
ICEISICEIS-J-2013-AhmadO13a #architecture #enterprise #ontology #semantics
Blueprint of a Semantic Business Process-Aware Enterprise Information Architecture: The EIAOnt Ontology (MA, MO), pp. 520–539.
ICEISICEIS-J-2013-BevacquaCFGP13a #data-driven #framework #monitoring #predict #process
A Data-Driven Prediction Framework for Analyzing and Monitoring Business Process Performances (AB, MC, FF, MG, LP), pp. 100–117.
ICEISICEIS-J-2013-HernandesBF13a #bibliography #process
An Overview of Experimental Studies on Software Inspection Process (EMH, AB, SF), pp. 118–134.
ICEISICEIS-J-2013-KalsingITN13a #incremental #legacy #mining #modelling #process #using
Re-learning of Business Process Models from Legacy System Using Incremental Process Mining (ACK, CI, LHT, GSdN), pp. 314–330.
ICEISICEIS-v1-2013-BevacquaCFGP #abstraction #adaptation #approach #predict #process
A Data-adaptive Trace Abstraction Approach to the Prediction of Business Process Performances (AB, MC, FF, MG, LP), pp. 56–65.
ICEISICEIS-v1-2013-HernandesBF #process
Experimental Studies in Software Inspection Process — A Systematic Mapping (EMH, AB, SF), pp. 66–76.
ICEISICEIS-v1-2013-MagalhaesMVMMPC #distributed #linked data #named #open data #query
QEF-LD — A Query Engine for Distributed Query Processing on Linked Data (RPM, JMM, VMPV, JAFdM, MM, FP, MAC), pp. 185–192.
ICEISICEIS-v1-2013-OliveiraB #approach #modelling #novel #process #standard
ETL Standard Processes Modelling — A Novel BPMN Approach (BCdSO, OB), pp. 120–127.
ICEISICEIS-v1-2013-ShamsuzzohaAH #adaptation #enterprise #process
Adaptive Virtual Enterprise Process Management — Perspective of Cloud-based Data Storage (AS, SA, PH), pp. 88–94.
ICEISICEIS-v1-2013-SilveiraMAC #development #library #matrix #memory management #process
A Library to Support the Development of Applications that Process Huge Matrices in External Memory (JAS, SVGM, MVAA, VSC), pp. 153–160.
ICEISICEIS-v2-2013-DomingosMC #internet #process
Internet of Things Aware WS-BPEL Business Process (DD, FM, CC), pp. 505–512.
ICEISICEIS-v2-2013-KalsingITN #incremental #learning #legacy #mining #modelling #process #using
Evolutionary Learning of Business Process Models from Legacy Systems using Incremental Process Mining (ACK, CI, LHT, GSdN), pp. 58–69.
ICEISICEIS-v2-2013-LiL #agile #network #object-oriented #predict #process #using
Predicting Fault-proneness of Object-Oriented System Developed with Agile Process using Learned Bayesian Network (LL, HL), pp. 5–16.
ICEISICEIS-v2-2013-SoaresB #development #process
Projects Characteristics Determining Suitability of Software Development Process (MdSS, JB), pp. 118–125.
ICEISICEIS-v2-2013-WolfengagenRSBS #approach #modelling #process #towards
Applicative Approach to Information Processes Modeling — Towards a Constructive Information Theory (VW, VR, LS, AB, AS), pp. 323–328.
ICEISICEIS-v3-2013-AhmadO #approach #architecture #enterprise #process #semantics
A New Approach to Semantically Derive Enterprise Information Architecture from Business Process Architecture (MA, MO), pp. 363–369.
ICEISICEIS-v3-2013-CheikhrouhouKGJ #bibliography #modelling #process
A Survey on Time-aware Business Process Modeling (SC, SK, NG, MJ), pp. 236–242.
ICEISICEIS-v3-2013-MalekanA #collaboration #modelling #network #process
Business Process Modeling Languages Supporting Collaborative Networks (HSM, HA), pp. 258–266.
ICEISICEIS-v3-2013-PanTG #approach #dependence #modelling #ontology #process
Artefact-oriented Business Process Modelling — An Ontological Dependency Approach (YCP, YT, SRG), pp. 223–230.
ICEISICEIS-v3-2013-RomaniB #design #process #social
Exploring Human Computation and Social Computing to Inform the Design Process (RR, MCCB), pp. 67–74.
ICEISICEIS-v3-2013-SbaiFK #adaptation #approach #configuration management #modelling #process #towards
Towards a Process Patterns based Approach for Promoting Adaptability in Configurable Process Models (HS, MF, LK), pp. 382–387.
CIKMCIKM-2013-DerakhshanSS #data type #performance #streaming
A new operator for efficient stream-relation join processing in data streaming engines (RD, AS, BS), pp. 793–798.
CIKMCIKM-2013-MullangiR #named #performance #query #reachability #scalability
SCISSOR: scalable and efficient reachability query processing in time-evolving hierarchies (PRM, LR), pp. 799–804.
CIKMCIKM-2013-NaeemWDL
A generic front-stage for semi-stream processing (MAN, GW, GD, CL), pp. 769–774.
CIKMCIKM-2013-QinZ #functional #process
Functional dirichlet process (LQ, XZ), pp. 1331–1340.
CIKMCIKM-2013-TianCZ #approach #database #hybrid #mobile #privacy #query
A hybrid approach for privacy-preserving processing of knn queries in mobile database systems (ST, YC, QZ), pp. 1161–1164.
CIKMCIKM-2013-YuanWJL #clustering #graph #performance #streaming
Efficient processing of streaming graphs for evolution-aware clustering (MY, KLW, GJS, YL), pp. 319–328.
ICMLICML-c1-2013-GilboaSCG #approximate #multi #process #scalability #using
Scaling Multidimensional Gaussian Processes using Projected Additive Approximations (EG, YS, JPC, EG), pp. 454–461.
ICMLICML-c1-2013-WulsinFL #correlation #markov #parsing #process #using
Parsing epileptic events using a Markov switching process model for correlated time series (DW, EBF, BL), pp. 356–364.
ICMLICML-c2-2013-Lopez-PazHG #dependence #multi #process
Gaussian Process Vine Copulas for Multivariate Dependence (DLP, JMHL, ZG), pp. 10–18.
ICMLICML-c2-2013-YangZ #process
Mixture of Mutually Exciting Processes for Viral Diffusion (SHY, HZ), pp. 1–9.
ICMLICML-c3-2013-AhmedHS #documentation #modelling #process
Nested Chinese Restaurant Franchise Process: Applications to User Tracking and Document Modeling (AA, LH, AJS), pp. 1426–1434.
ICMLICML-c3-2013-ReedG #process #scalability
Scaling the Indian Buffet Process via Submodular Maximization (CR, ZG), pp. 1013–1021.
ICMLICML-c3-2013-RossD #constraints #parametricity #process
Nonparametric Mixture of Gaussian Processes with Constraints (JCR, JGD), pp. 1346–1354.
ICMLICML-c3-2013-WilsonA #kernel #process
Gaussian Process Kernels for Pattern Discovery and Extrapolation (AGW, RPA), pp. 1067–1075.
ICMLICML-c3-2013-ZhouZS #kernel #learning #multi #process
Learning Triggering Kernels for Multi-dimensional Hawkes Processes (KZ, HZ, LS), pp. 1301–1309.
KDDKDD-2013-AltinigneliPB #parallel #using
Massively parallel expectation maximization using graphics processing units (MCA, CP, CB), pp. 838–846.
KDDKDD-2013-BahadoriLX #learning #performance #probability #process
Fast structure learning in generalized stochastic processes with latent factors (MTB, YL, EPX), pp. 284–292.
KDDKDD-2013-HallakCM #markov #process
Model selection in markovian processes (AH, DDC, SM), pp. 374–382.
KDDKDD-2013-IwataSG #online #process #social
Discovering latent influence in online social activities via shared cascade poisson processes (TI, AS, ZG), pp. 266–274.
KDDKDD-2013-LiuYK #adaptation #modelling #process #using
Adaptive collective routing using gaussian process dynamic congestion models (SL, YY, RK), pp. 704–712.
KDIRKDIR-KMIS-2013-ArgiolasCFLMPQS #process
Knowledge in Construction Processes (CA, GC, MDF, MIL, FM, FEP, EQ, DS), pp. 397–404.
KDIRKDIR-KMIS-2013-BachmannNMBAC #information management #process #safety
Preservation and Redeployment of Sensor Acquisition Processes from a Dam Safety Information System (AB, MAN, HM, JB, GA, AC), pp. 490–495.
KDIRKDIR-KMIS-2013-ItalaH #behaviour #diagrams #process #using
Using Stocks and Flows Diagrams to Understand Business Process Behavior (TI, MH), pp. 552–558.
KDIRKDIR-KMIS-2013-SaidiDZC #process #requirements #semantics #towards #unification #using
Towards Unification of Requirements Engineering Approaches using Semantics-based Process (IES, TD, NZ, PJC), pp. 443–450.
KDIRKDIR-KMIS-2013-SaijoSWK #analysis #case study #collaboration #community #multi #process
An Analysis of Multi-disciplinary — Inter-agency Collaboration Process — Case Study of a Japanese Community Care Access Center (MS, TS, MW, SK), pp. 470–475.
KDIRKDIR-KMIS-2013-VanthienenC #modelling #process #question
Modeling Business Decisions and Processes — Which Comes First? (JV, FC), pp. 451–456.
RecSysRecSys-2013-HuY #learning #process #recommendation
Interview process learning for top-n recommendation (FH, YY), pp. 331–334.
SEKESEKE-2013-AbdouGK #open source #process #testing
Managing Corrective Actions to Closure in Open Source Software Test Process (TA, PG, PK), pp. 306–311.
SEKESEKE-2013-Bouzar-BenlabiodBB #correlation #performance #process
An efficient QCL-based alert correlation process (LBB, SB, TBT), pp. 388–393.
SEKESEKE-2013-GayedLB #case study #forensics #process #representation
Representing Chains of Custody Along a Forensic Process: A Case Study on Kruse Model (TFG, HL, MB), pp. 674–680.
SEKESEKE-2013-GomedeB #development #process #quality #re-engineering
A Non-Intrusive Process to Software Engineering Decision Support focused on increasing the Quality of Software Development (S) (EG, RMB), pp. 95–100.
SEKESEKE-2013-LosadaJ #documentation #identification #semantics
Processing rhetorical, morphosyntactic, and semantic features from corporate technical documents for identifying organizational domain knowledge (S) (BML, CMZJ), pp. 268–272.
SEKESEKE-2013-MolleriSB #approach #automation #bibliography #process
Proposal of an Automated Approach to Support the Systematic Review of Literature Process (S) (JSM, LEdS, FBVB), pp. 488–493.
SEKESEKE-2013-Perez-CastilloFPC #how #modelling #process #refactoring
How Does Refactoring Affect Understandability of Business Process Models? (S) (RPC, MFR, MP, DC), pp. 644–649.
SEKESEKE-2013-PortugalO #process #specification
Introducing Software Process Specification to Task Context (S) (IdSP, TCO), pp. 22–25.
SEKESEKE-2013-RamosRO #process #source code #towards
Towards a strategy for analysing benefits of Software Process Improvement programs (CSR, ARR, KMdO), pp. 638–643.
SEKESEKE-2013-SadiqJ #approach #elicitation #fuzzy #process #requirements
A fuzzy based approach for requirements prioritization in goal oriented requirements elicitation process (S) (MS, SKJ), pp. 54–58.
SEKESEKE-2013-SilvaOL #declarative #explosion #modelling #problem #process
A Solution to the State Space Explosion Problem in Declarative Business Process Modeling (S) (NCS, CALO, RMFL), pp. 26–29.
SIGIRSIGIR-2013-DimopoulosNS #performance #query
A candidate filtering mechanism for fast top-k query processing on modern cpus (CD, SN, TS), pp. 723–732.
SIGIRSIGIR-2013-RossiMCS #performance #query #using
Fast document-at-a-time query processing using two-tier indexes (CR, ESdM, ALC, ASdS), pp. 183–192.
SKYSKY-2013-SuarezMFM #automation #generative #natural language #semantics #using
Automatic Generation of Semantic Patterns using Techniques of Natural Language Processing (PS, VM, AF, JLM), pp. 34–44.
ECMFAECMFA-2013-KholkarYTDS #experience #industrial #modelling #process #testing
Experience with Industrial Adoption of Business Process Models for User Acceptance Testing (DK, PY, HT, AD, AS), pp. 192–206.
ECMFAECMFA-2013-KusterVFBC #process
Supporting Different Process Views through a Shared Process Model (JMK, HV, CF, MCB, KC), pp. 20–36.
OOPSLAOOPSLA-2013-SmaragdakisBK #analysis #points-to #preprocessor
Set-based pre-processing for points-to analysis (YS, GB, GK), pp. 253–270.
QAPLQAPL-2013-BernardoNL #behaviour #nondeterminism #probability #process
The Spectrum of Strong Behavioral Equivalences for Nondeterministic and Probabilistic Processes (MB, RDN, ML), pp. 81–96.
POPLPOPL-2013-SuenagaSH #modelling #standard
Hyperstream processing systems: nonstandard modeling of continuous-time signals (KS, HS, IH), pp. 417–430.
REER-BR-2013-AlvesSC #integration #modelling #process
A bi-directional integration between i* and BPMN models in the context of business process management: A position paper (RA, CTLLS, JC).
REER-BR-2013-HadadD #process #requirements #variability
Introducing Variability in a Client-Oriented Requirements Engineering Process (GDSH, JHD).
REER-BR-2013-MartinsOB #embedded #process #requirements #towards
Towards Requirements Engineering Process for Embedded Systems (LEGM, JCO, AB).
REER-BR-2013-SantosPPOC #process
Business Process Configuration with NFRs and Context-Awereness (ES, JP, TP, KO, JC).
REER-BR-2013-SoaresPSCV #automation #modelling #process
Automatic Models Transformation for the STREAM process (MS, JP, CTLLS, JC, JV).
REFSQREFSQ-2013-Bennett-TherkildsenJNR #development #process #requirements
Redefinition of the Requirements Engineer Role in Mjølner’s Software Development Process (ABT, JBJ, KN, NMR), pp. 285–291.
REFSQREFSQ-2013-HoffmannBL #comprehension #development #process
The Emergence of Mutual and Shared Understanding in the System Development Process (AH, EACB, JML), pp. 174–189.
REFSQREFSQ-2013-Zorn-PauliPBKR #case study #industrial #process #release planning
Analyzing an Industrial Strategic Release Planning Process — A Case Study at Roche Diagnostics (GZP, BP, TB, HK, GR), pp. 269–284.
SACSAC-2013-AccorsiSM #mining #on the #process #security
On the exploitation of process mining for security audits: the process discovery case (RA, TS, GM), pp. 1462–1468.
SACSAC-2013-AnielloQB #data transformation
Input data organization for batch processing in time window based computations (LA, LQ, RB), pp. 363–370.
SACSAC-2013-CogniniFPPR #collaboration #modelling #named #process #verification
HawkEye: a tool for collaborative business process modelling and verification (RC, DF, AP, AP, BR), pp. 785–786.
SACSAC-2013-Fernandez-RoperoPCP #process #refactoring
Assessing the best-order for business process model refactoring (MFR, RPC, JACL, MP), pp. 1397–1402.
SACSAC-2013-FurtadoC #hybrid #middleware #network
Sensor streams middleware for easy configuration and processing in hybrid sensor network (PF, JC), pp. 1499–1504.
SACSAC-2013-GaoB #architecture
User centric complex event processing based on service oriented architectures (FG, SB), pp. 1933–1934.
SACSAC-2013-HippMMR #framework #process #visualisation
A framework for the intelligent delivery and user-adequate visualization of process information (MH, BM, BM, MR), pp. 1383–1390.
SACSAC-2013-KhalfallahFBG #process
Product-based business processes interoperability (MK, NF, MB, PG), pp. 1472–1473.
SACSAC-2013-KolbR #abstraction #adaptation #data flow #process
Data flow abstractions and adaptations through updatable process views (JK, MR), pp. 1447–1453.
SACSAC-2013-LaneseBF #approach #calculus #internet #process
Internet of things: a process calculus approach (IL, LB, MDF), pp. 1339–1346.
SACSAC-2013-LeoniA #mining #process #using
Data-aware process mining: discovering decisions in processes using alignments (MdL, WMPvdA), pp. 1454–1461.
SACSAC-2013-Markovski #communication #coordination #probability #process #reliability
Reliable supervisory coordination of stochastic communicating processes with data (JM), pp. 1347–1354.
SACSAC-2013-Montesi #programming #web
Process-aware web programming with Jolie (FM), pp. 761–763.
SACSAC-2013-OyamadaKK #concurrent #query
Continuous query processing with concurrency control: reading updatable resources consistently (MO, HK, HK), pp. 788–794.
SACSAC-2013-PflugR #information management
Dynamic instance queuing in process-aware information systems (JP, SRM), pp. 1426–1433.
SACSAC-2013-ProencaVASB0K #maturity #process
Evaluating a process for developing a capability maturity model (DP, RV, GA, MMdS, JLB, CB, HK), pp. 1474–1475.
SACSAC-2013-Schefer-WenzlS #information management #policy
Generic support for RBAC break-glass policies in process-aware information systems (SSW, MS), pp. 1441–1446.
SACSAC-2013-WombacherI #estimation #process
Start time and duration distribution estimation in semi-structured processes (AW, MEI), pp. 1403–1409.
ICSEICSE-2013-KuhrmannFM #education #modelling #process
Teaching software process modeling (MK, DMF, JM), pp. 1138–1147.
ICSEICSE-2013-LiuYCY #monitoring #novel #parallel #process
Selecting checkpoints along the time line: a novel temporal checkpoint selection strategy for monitoring a batch of parallel business processes (XL, YY, DC, DY), pp. 1281–1284.
ICSEICSE-2013-RahmanD #how #metric #process #why
How, and why, process metrics are better (FR, PTD), pp. 432–441.
PLEASEPLEASE-2013-SimmondsBSQ #industrial #modelling #process #requirements #variability
Variability in software process models: Requirements for adoption in industrial settings (JS, MCB, LS, AQ), pp. 33–36.
SPLCSPLC-2013-Nakagawa0M #architecture #design #process #product line #towards
Towards a process to design product line architectures based on reference architectures (EYN, MB, JCM), pp. 157–161.
HPDCHPDC-2013-LakshminarasimhanBPZJVPS #encoding #query #scalability
Scalable in situ scientific data encoding for analytical query processing (SL, DABI, SVP, XZ, JJ, VV, MEP, NFS), pp. 1–12.
HPDCHPDC-2013-SajjapongseWB #clustering #multi #runtime
A preemption-based runtime to efficiently schedule multi-process applications on heterogeneous clusters with GPUs (KS, XW, MB), pp. 179–190.
LCTESLCTES-2013-GeunsHB #automation #data flow #realtime
Automatic dataflow model extraction from modal real-time stream processing applications (SJG, JPHMH, MJGB), pp. 143–152.
PLOSPLOS-2013-AryaDVC #automation #debugging #process
Semi-automated debugging via binary search through a process lifetime (KA, TD, AMV, GC), p. 7.
PPoPPPPoPP-2013-ShunB #framework #graph #lightweight #memory management #named
Ligra: a lightweight graph processing framework for shared memory (JS, GEB), pp. 135–146.
SOSPSOSP-2013-RoyMZ #graph #named #streaming #using
X-Stream: edge-centric graph processing using streaming partitions (AR, IM, WZ), pp. 472–488.
CAVCAV-2013-ChatterjeeL #algorithm #markov #performance #process
Faster Algorithms for Markov Decision Processes with Low Treewidth (KC, JL), pp. 543–558.
CSLCSL-2013-ChatterjeeCT #decidability #markov #process #what
What is Decidable about Partially Observable Markov Decision Processes with ω-Regular Objectives (KC, MC, MT), pp. 165–180.
ICLPICLP-J-2013-GiordanoMSD #constraints #process #programming #set #verification
Business process verification with constraint temporal answer set programming (LG, AM, MS, DTD), pp. 641–655.
ICLPICLP-J-2013-Schwitter #challenge #natural language
The jobs puzzle: Taking on the challenge via controlled natural language processing (RS), pp. 487–501.
ICSTICST-2013-CompagnaGB #as a service #process #security #validation
Business Process Compliance via Security Validation as a Service (LC, PG, ADB), pp. 455–462.
ICSTICST-2013-Mercaldo #database #identification #process
Identification of Anomalies in Processes of Database Alteration (FM), pp. 513–514.
ISSTAISSTA-2013-YuSR #automation #framework #named #testing
SimRacer: an automated framework to support testing for process-level races (TY, WSa, GR), pp. 167–177.
LICSLICS-2013-BrazdilCFK #markov #performance #process
Trading Performance for Stability in Markov Decision Processes (TB, KC, VF, AK), pp. 331–340.
LICSLICS-2013-KozenLMP #markov #process
Stone Duality for Markov Processes (DK, KGL, RM, PP), pp. 321–330.
VMCAIVMCAI-2013-NamjoshiT #network #process #symmetry
Uncovering Symmetries in Irregular Process Networks (KSN, RJT), pp. 496–514.
CASECASE-2012-AnKP #learning #modelling #process
Grasp motion learning with Gaussian Process Dynamic Models (BA, HK, FCP), pp. 1114–1119.
CASECASE-2012-Chang #detection #fault #feature model #process #using
Fault detection for plasma-enhanced chemical vapor deposition process using feature extraction (YJC), pp. 491–496.
CASECASE-2012-ChenZD #fourier #process
Mitigation of chatter instability in milling processes by active fourier series compensation (ZC, HTZ, HD), pp. 167–171.
CASECASE-2012-GhonaimGS #on the #problem
On the assignment problem of arbitrary processing time operations in partially overlapping manufacturing resources (WG, HG, WS), pp. 341–346.
CASECASE-2012-KimCLK #fault #framework #monitoring #process
Task-oriented synchronous error monitoring framework in robotic manufacturing process (HK, JC, SL, JK), pp. 485–490.
CASECASE-2012-LiLS #constraints #process
Dispatching rule considering time-constraints on processes for semiconductor wafer fabrication facility (LL, YFL, ZJS), pp. 407–412.
CASECASE-2012-Markovski #framework
A process-theoretic state-based framework for live supervision (JM), pp. 680–685.
CASECASE-2012-NganYY #modelling #process
Modeling of traffic data characteristics by Dirichlet Process Mixtures (HYTN, NHCY, AGOY), pp. 224–229.
CASECASE-2012-PampuriSSLBN #multi #process
Multistep virtual metrology approaches for semiconductor manufacturing processes (SP, AS, GAS, CDL, AB, GDN), pp. 91–96.
CASECASE-2012-RusslandEP #process #workflow
A workflow management system for cross-system processes in semiconductor supply chains (TR, HE, TP), pp. 635–640.
CASECASE-2012-WeiM #design #framework #order #process #scheduling
Design of an order acceptance and scheduling module in a unified framework with product and process features (JW, YSM), pp. 968–973.
CASECASE-2012-YangHTLSL #automation #process
A vacuum-pumped microfluidic device for automated in-line mixing and focusing processes (ASY, HHH, LYT, PHL, TLS, CLL), pp. 109–114.
DACDAC-2012-KongC #3d #process
Exploiting narrow-width values for process variation-tolerant 3-D microprocessors (JK, SWC), pp. 1197–1206.
DACDAC-2012-LearyCC #architecture #memory management #synthesis
System-level synthesis of memory architecture for stream processing sub-systems of a MPSoC (GL, WC, KSC), pp. 672–677.
DACDAC-2012-SeoDWPCMBM #architecture #process
Process variation in near-threshold wide SIMD architectures (SS, RGD, MW, YP, CC, SAM, DB, TNM), pp. 980–987.
DACDAC-2012-YuCSJC #design #detection #using
Accurate process-hotspot detection using critical design rule extraction (YTY, YCC, SS, IHRJ, CC), pp. 1167–1172.
DATEDATE-2012-EllenEO #automation #development #embedded #process #safety
Automatic transition between structural system views in a safety relevant embedded systems development process (CE, CE, MO), pp. 820–823.
DATEDATE-2012-KamalASP #approach #architecture #process
An architecture-level approach for mitigating the impact of process variations on extensible processors (MK, AAK, SS, MP), pp. 467–472.
DATEDATE-2012-LiDX #process
Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations (ML, AD, LX), pp. 1591–1596.
DATEDATE-2012-LiH #analysis #logic #named #performance #reliability
RAG: An efficient reliability analysis of logic circuits on graphics processing units (ML, MSH), pp. 316–319.
DATEDATE-2012-MarinissenVGHRMB #detection #process
EDA solutions to new-defect detection in advanced process technologies (EJM, GV, SKG, FH, JR, NM, SB), pp. 123–128.
DATEDATE-2012-WalravensD #architecture #design #energy
Design of a low-energy data processing architecture for WSN nodes (CW, WD), pp. 570–573.
DRRDRR-2012-WalkerLR #dataset #documentation #image
A synthetic document image dataset for developing and evaluating historical document processing methods (DDW, WBL, EKR).
DRRDRR-2012-WangDL #recognition #string
Post processing for offline Chinese handwritten character string recognition (YW, XD, CL).
SIGMODSIGMOD-2012-BalminKT #named
Clydesdale: structured data processing on hadoop (AB, TK, ST), pp. 705–708.
SIGMODSIGMOD-2012-ChengKCC #approach #distance #graph #performance #query #scalability
Efficient processing of distance queries in large graphs: a vertex cover approach (JC, YK, SC, CC), pp. 457–468.
SIGMODSIGMOD-2012-MozafariZZ #xml
High-performance complex event processing over XML streams (BM, KZ, CZ), pp. 253–264.
SIGMODSIGMOD-2012-SikkaFLCPB #database #performance #transaction
Efficient transaction processing in SAP HANA database: the end of a column store myth (VS, FF, WL, SKC, TP, CB), pp. 731–742.
SIGMODSIGMOD-2012-YuAY #query #scalability
Processing a large number of continuous preference top-k queries (AY, PKA, JY), pp. 397–408.
VLDBVLDB-2012-AhmadKKN #higher-order #named
DBToaster: Higher-order Delta Processing for Dynamic, Frequently Fresh Views (YA, OK, CK, MN), pp. 968–979.
VLDBVLDB-2012-AlagiannisBBIA #adaptation #query
NoDB in Action: Adaptive Query Processing on Raw Data (IA, RB, MB, SI, AA), pp. 1942–1945.
VLDBVLDB-2012-ChenAK #big data #interactive #pipes and filters
Interactive Analytical Processing in Big Data Systems: A Cross-Industry Study of MapReduce Workloads (YC, SA, RHK), pp. 1802–1813.
VLDBVLDB-2012-DittrichQ #big data #performance #pipes and filters
Efficient Big Data Processing in Hadoop MapReduce (JD, JAQR), pp. 2014–2015.
VLDBVLDB-2012-HallBBGN
Processing a Trillion Cells per Mouse Click (AH, OB, RB, SG, MN), pp. 1436–1446.
VLDBVLDB-2012-Jacques-SilvaGWWK #adaptation #runtime
Building User-defined Runtime Adaptation Routines for Stream Processing Applications (GJS, BG, RW, KLW, VK), pp. 1826–1837.
VLDBVLDB-2012-LamLPRVD #named #performance
Muppet: MapReduce-Style Processing of Fast Data (WL, LL, SP, AR, ZV, AD), pp. 1814–1825.
VLDBVLDB-2012-LuSCO #nearest neighbour #performance #pipes and filters #using
Efficient Processing of k Nearest Neighbor Joins using MapReduce (WL, YS, SC, BCO), pp. 1016–1027.
VLDBVLDB-2012-RoyDMSW #analysis
Massive Genomic Data Processing and Deep Analysis (AR, YD, EM, YS, BLW), pp. 1906–1909.
VLDBVLDB-2012-ZhangCW #multi #performance #pipes and filters #using
Efficient Multi-way Theta-Join Processing Using MapReduce (XZ, LC, MW), pp. 1184–1195.
VLDBVLDB-2013-CalvaneseGLV12 #database #graph #query #relational
Query Processing under GLAV Mappings for Relational and Graph Databases (DC, GDG, ML, MYV), pp. 61–72.
CSEETCSEET-2012-RongS #challenge #education
Delivering Software Process-Specific Project Courses in Tertiary Education Environment: Challenges and Solution (GR, DS), pp. 52–61.
ITiCSEITiCSE-2012-AsadB #aspect-oriented #concept #image #learning
Are children capable of learning image processing concepts?: cognitive and affective aspects (KA, MB), pp. 227–231.
ESOPESOP-2012-FehnkerGHMPT #algebra #network #process
A Process Algebra for Wireless Mesh Networks (AF, RJvG, PH, AM, MP, WLT), pp. 295–315.
FASEFASE-2012-Aalst #consistency #distributed #process
Distributed Process Discovery and Conformance Checking (WMPvdA), pp. 1–25.
FoSSaCSFoSSaCS-2012-BernardoNL #nondeterminism #probability #process #testing
Revisiting Trace and Testing Equivalences for Nondeterministic and Probabilistic Processes (MB, RDN, ML), pp. 195–209.
FoSSaCSFoSSaCS-2012-ToninhoCP #process
Functions as Session-Typed Processes (BT, LC, FP), pp. 346–360.
CSMRCSMR-2012-Izquierdo-Cortazar #comprehension #debugging #distributed #process
Global and Geographically Distributed Work Teams: Understanding the Bug Fixing Process and Potentially Bug-prone Activity Patterns (DIC), pp. 505–508.
ICPCICPC-2012-AlawnehH #communication #identification
Identifying computational phases from inter-process communication traces of HPC applications (LA, AHL), pp. 133–142.
ICPCICPC-2012-Mahmoud #automation #effectiveness #process #towards
Toward an effective automated tracing process (AM), pp. 269–272.
ICSMEICSM-2012-LotufoMC #debugging #modelling #process
Modelling the “Hurried” bug report reading process to summarize bug reports (RL, ZM, KC), pp. 430–439.
ICSMEICSM-2012-Perez-Castillo #approach #information management #legacy #named #process
MARBLE: Modernization approach for recovering business processes from legacy information systems (RPC), pp. 671–676.
ICSMEICSM-2012-TomasiMFS #named #process
reBPMN: Recovering and reducing business processes (AT, AM, CDF, AS), pp. 666–669.
PLDIPLDI-2012-KruijfSJ #compilation #design #static analysis
Static analysis and compiler design for idempotent processing (MdK, KS, SJ), pp. 475–486.
STOCSTOC-2012-EtessamiSY #algorithm #branch #context-free grammar #multi #polynomial #probability #process
Polynomial time algorithms for multi-type branching processes and stochastic context-free grammars (KE, AS, MY), pp. 579–588.
CIAACIAA-2012-EhrenfeuchtR #framework #process
A Formal Framework for Processes Inspired by the Functioning of Living Cells (AE, GR), pp. 25–27.
ICALPICALP-v1-2012-EtessamiSY #algorithm #branch #equation #markov #polynomial #probability #process
Polynomial Time Algorithms for Branching Markov Decision Processes and Probabilistic Min(Max) Polynomial Bellman Equations (KE, AS, MY), pp. 314–326.
ICALPICALP-v2-2012-AcetoCEI #algebra #process
Algebraic Synchronization Trees and Processes (LA, AC, , AI), pp. 30–41.
ICALPICALP-v2-2012-BrazdilKNW #markov #process #termination
Minimizing Expected Termination Time in One-Counter Markov Decision Processes (TB, AK, PN, DW), pp. 141–152.
ICALPICALP-v2-2012-Fu #game studies #markov #metric #process
Computing Game Metrics on Markov Decision Processes (HF), pp. 227–238.
FMFM-2012-BenkiraneNSS #algebra #process #using
Measles Epidemics and PEPA: An Exploration of Historic Disease Dynamics Using Process Algebra (SB, RN, ES, CS), pp. 101–115.
IFMIFM-2012-CalderS #algebra #case study #network #process #runtime #verification
Process Algebra for Event-Driven Runtime Verification: A Case Study of Wireless Network Management (MC, MS), pp. 21–23.
GT-VMTGT-VMT-2012-Heussner #communication #graph #graph grammar #model checking #process
Model Checking Communicating Processes: Run Graphs, Graph Grammars, and MSO (AH).
CHICHI-2012-Friess #case study #design #process
Personas and decision making in the design process: an ethnographic case study (EF), pp. 1209–1218.
CSCWCSCW-2012-BorgeGSC #analysis #process
Patterns of team processes and breakdowns in information analysis tasks (MB, CHG, SIS, JMC), pp. 1105–1114.
CSCWCSCW-2012-CarrollLLSW #process
Bodies in critique: a technological intervention in the dance production process (EAC, DML, CL, VS, MW), pp. 705–714.
CSCWCSCW-2012-Meum #process
Electronic medication management: a socio — technical change process in clinical practice (TM), pp. 877–886.
CSCWCSCW-2012-Nagar #community #online #process #what
What do you think?: the structuring of an online community as a collective-sensemaking process (YN), pp. 393–402.
CSCWCSCW-2012-NobaranyHF #approach #collaboration #distributed #process #reuse
Facilitating the reuse process in distributed collaboration: a distributed cognition approach (SN, MH, BDF), pp. 1223–1232.
CSCWCSCW-2012-PhillipsRS #development #integration #parallel #process #scalability
Information needs for integration decisions in the release process of large-scale parallel development (SP, GR, JS), pp. 1371–1380.
CAiSECAiSE-2012-BinderDDDFGGHHRRW #case study #clustering #experience #on the #process
On Analyzing Process Compliance in Skin Cancer Treatment: An Experience Report from the Evidence-Based Medical Compliance Cluster (EBMC2) (MB, WD, GD, RD, KAF, WG, WG, KH, MH, SRM, CR, SW), pp. 398–413.
CAiSECAiSE-2012-BrouckeWBV #generative #process
Improved Artificial Negative Event Generation to Enhance Process Event Logs (SKLMvB, JDW, BB, JV), pp. 254–269.
CAiSECAiSE-2012-ComuzziAV #monitoring #process
Patterns to Enable Mass-Customized Business Process Monitoring (MC, SA, JV), pp. 445–459.
CAiSECAiSE-2012-DumasRMMRS #comprehension #cost analysis #modelling #process
Understanding Business Process Models: The Costs and Benefits of Structuredness (MD, MLR, JM, RM, HAR, NS), pp. 31–46.
CAiSECAiSE-2012-EngelAZPW #case study #mining #modelling #process
Mining Inter-organizational Business Process Models from EDI Messages: A Case Study from the Automotive Sector (RE, WMPvdA, MZ, CP, HW), pp. 222–237.
CAiSECAiSE-2012-GronerAMGPB #modelling #process #validation
Validation of User Intentions in Process Models (GG, MA, BM, DG, FSP, MB), pp. 366–381.
CAiSECAiSE-2012-LeopoldMP #generative #modelling #natural language #process
Generating Natural Language Texts from Business Process Models (HL, JM, AP), pp. 64–79.
CAiSECAiSE-2012-LiptchinskyKTD #approach #collaboration #modelling #novel #process #social
A Novel Approach to Modeling Context-Aware and Social Collaboration Processes (VL, RK, HLT, SD), pp. 565–580.
CAiSECAiSE-2012-LonnUWJ #configuration management #modelling #process
Configurable Process Models for the Swedish Public Sector (CML, EU, PW, GJS), pp. 190–205.
CAiSECAiSE-2012-LyIMR #data transformation #mining #process #semantics
Data Transformation and Semantic Log Purging for Process Mining (LTL, CI, JM, SRM), pp. 238–253.
CAiSECAiSE-2012-MaggiBA #declarative #modelling #performance #process
Efficient Discovery of Understandable Declarative Process Models from Event Logs (FMM, RPJCB, WMPvdA), pp. 270–285.
CAiSECAiSE-2012-MartinCM #assessment #empirical #process
Process Redesign for Liquidity Planning in Practice: An Empirical Assessment (JM, TC, AM), pp. 581–596.
CAiSECAiSE-2012-Petrusel #modelling #process
Aggregating Individual Models of Decision-Making Processes (RP), pp. 47–63.
CAiSECAiSE-2012-Priego-RocheTFRM #design #modelling #process
Business Process Design from Virtual Organization Intentional Models (LMPR, LHT, AF, DR, JM), pp. 549–564.
EDOCEDOC-2012-BurattinMAS #analysis #declarative #process
Techniques for a Posteriori Analysis of Declarative Processes (AB, FMM, WMPvdA, AS), pp. 41–50.
EDOCEDOC-2012-DohringSG #adaptation #approach #aspect-oriented #model transformation #recursion #rule-based #runtime #weaving #workflow
Emulating Runtime Workflow Adaptation and Aspect Weaving by Recursive Rule-Based Sub-Process Selection — A Model Transformation Approach (MD, AS, IG), pp. 133–142.
EDOCEDOC-2012-MichelbergerMR #enterprise #process
Process-oriented Information Logistics: Aligning Enterprise Information with Business Processes (BM, BM, MR), pp. 21–30.
EDOCEDOC-2012-ParaisoHRMS #framework #middleware
A Middleware Platform to Federate Complex Event Processing (FP, GH, RR, PM, LS), pp. 113–122.
EDOCEDOC-2012-WangWPSC #process
A State Synchronization Mechanism for Orchestrated Processes (LW, AW, LFP, MvS, CC), pp. 51–60.
EDOCEDOC-2012-ZarghamiSES #as a service #logic #process
Decision as a Service: Separating Decision-making from Application Process Logic (AZ, BS, MZE, MvS), pp. 103–112.
ICEISICEIS-J-2012-RenardCR12a #evaluation #fault #process #towards
Towards a Leaner Evaluation Process: Application to Error Correction Systems (AR, SC, BR), pp. 228–242.
ICEISICEIS-J-2012-RibeiroFBKE #algorithm #approach #learning #markov #process
Combining Learning Algorithms: An Approach to Markov Decision Processes (RR, FF, MACB, ALK, FE), pp. 172–188.
ICEISICEIS-v1-2012-GascuenaG #modelling
Data Processing Modeling in Decision Support Systems (CMG, RG), pp. 133–138.
ICEISICEIS-v1-2012-RudraGA #approximate #performance #query
An Efficient Sampling Scheme for Approximate Processing of Decision Support Queries (AR, RPG, NA), pp. 16–26.
ICEISICEIS-v2-2012-AleixoKFCN #case study #comparative #modelling #process #using
Modularizing Software Process Lines using Model-driven Approaches — A Comparative Study (FAA, UK, MAF, DAdC, ECN), pp. 120–125.
ICEISICEIS-v2-2012-GassenMTO #design #ontology #process
Ontology Support for Home Care Process Design (JBG, AM, LHT, JPMdO), pp. 84–89.
ICEISICEIS-v2-2012-NishiokaO #concept #enterprise #information management #integration #process
Systematic Process of Conceptualization — For Enterprise Information System Renewal and Integration (KN, KO), pp. 63–69.
ICEISICEIS-v2-2012-PolasikGWKPT #analysis #mobile #process
Chronometric Analysis of a Payment Process for Cash, Cards and Mobile Devices (MP, JG, GW, JK, KP, NT), pp. 220–229.
ICEISICEIS-v2-2012-ReichelR #process #requirements #using
Prioritization of Product Requirements using the Analytic Hierarchy Process (TR, GR), pp. 70–76.
ICEISICEIS-v2-2012-RenardCR #documentation #fault #process
A Tool to Evaluate Error Correction Resources and Processes Suited for Documents Improvement (AR, SC, BR), pp. 27–35.
ICEISICEIS-v3-2012-BauerEFH #analysis #consistency #process
Resource-oriented Consistency Analysis of Engineering Processes (BB, TE, CF, BH), pp. 206–211.
ICEISICEIS-v3-2012-CaronV #design #enterprise #information management #paradigm #process
Moving Across Paradigms between the Process Design and Enactment Phase in Enterprise Information Systems (FC, JV), pp. 218–223.
ICEISICEIS-v3-2012-KimO #architecture #case study #enterprise #modelling
A Case Study on Modeling of Complex Event Processing in Enterprise Architecture (HK, SO), pp. 173–180.
ICEISICEIS-v3-2012-SchiefBW #modelling #process
Transforming Software Business Models into Business Processes (MS, AB, TW), pp. 167–172.
ICEISICEIS-v3-2012-WangWZLW #detection #modelling #process
Detecting Infeasible Traces in Process Models (ZW, LW, XZ, YL, JW), pp. 212–217.
CIKMCIKM-2012-ArvanitisDV #performance #query #research
Efficient influence-based processing of market research queries (AA, AD, YV), pp. 1193–1202.
CIKMCIKM-2012-CaoYDWW #graph #modelling #process #recommendation #workflow
Graph-based workflow recommendation: on improving business process modeling (BC, JY, SD, DW, ZW), pp. 1527–1531.
CIKMCIKM-2012-ChoiLKLM #named #parallel #query #xml
HadoopXML: a suite for parallel processing of massive XML data with multiple twig pattern queries (HC, KHL, SHK, YJL, BM), pp. 2737–2739.
CIKMCIKM-2012-DarwishMM #microblog #retrieval
Language processing for arabic microblog retrieval (KD, WM, AM), pp. 2427–2430.
CIKMCIKM-2012-EmrichKNRSZ #graph #monte carlo #nondeterminism #probability #query
Exploration of monte-carlo based probabilistic query processing in uncertain graphs (TE, HPK, JN, MR, AS, AZ), pp. 2728–2730.
CIKMCIKM-2012-HuangCLL #predict #probability #process #social #using
Predicting aggregate social activities using continuous-time stochastic process (SH, MC, BL, DL), pp. 982–991.
CIKMCIKM-2012-KimKKO #modelling #process #recursion #topic
Modeling topic hierarchies with the recursive chinese restaurant process (JHK, DK, SK, AHO), pp. 783–792.
CIKMCIKM-2012-LinXCB #algorithm #performance #query
Efficient algorithms for generalized subgraph query processing (WL, XX, JC, SSB), pp. 325–334.
CIKMCIKM-2012-ShiMWG #in the cloud #online #query
You can stop early with COLA: online processing of aggregate queries in the cloud (YS, XM, FW, YG), pp. 1223–1232.
CIKMCIKM-2012-StuparM #query
Being picky: processing top-k queries with set-defined selections (AS, SM), pp. 912–921.
CIKMCIKM-2012-SymondsBST #encoding #semantics
A tensor encoding model for semantic processing (MS, PDB, LS, IT), pp. 2267–2270.
CIKMCIKM-2012-VouzoukidouAC #query
Processing continuous text queries featuring non-homogeneous scoring functions (NV, BA, VC), pp. 1065–1074.
ECIRECIR-2012-DiriyeTB #interactive #process #query
A Little Interaction Can Go a Long Way: Enriching the Query Formulation Process (AD, AT, AB), pp. 531–534.
ECIRECIR-2012-HienertSSM #information retrieval #interactive #library #process #visualisation
Integrating Interactive Visualizations in the Search Process of Digital Libraries and IR Systems (DH, FS, PS, PM), pp. 447–450.
ECIRECIR-2012-JonassenB #concurrent #distributed #pipes and filters #retrieval
Intra-query Concurrent Pipelined Processing for Distributed Full-Text Retrieval (SJ, SEB), pp. 413–425.
ICMLICML-2012-BoukouvalasBC #process #using
Gaussian Process Quantile Regression using Expectation Propagation (AB, RB, DC), p. 123.
ICMLICML-2012-ChenCK #optimisation #process
Joint Optimization and Variable Selection of High-dimensional Gaussian Processes (BC, RMC, AK), p. 179.
ICMLICML-2012-DesautelsKB #optimisation #process #trade-off
Parallelizing Exploration-Exploitation Tradeoffs with Gaussian Process Bandit Optimization (TD, AK, JWB), p. 109.
ICMLICML-2012-FreitasSZ #bound #exponential #process
Exponential Regret Bounds for Gaussian Process Bandits with Deterministic Observations (NdF, AJS, MZ), p. 125.
ICMLICML-2012-KimKO #metric #parametricity #process #random #topic
Dirichlet Process with Mixed Random Measures: A Nonparametric Topic Model for Labeled Data (DK, SK, AHO), p. 90.
ICMLICML-2012-MoldovanA #markov #process
Safe Exploration in Markov Decision Processes (TMM, PA), p. 188.
ICMLICML-2012-RifaiDVB #generative #process
A Generative Process for Contractive Auto-Encoders (SR, YD, PV, YB), p. 235.
ICMLICML-2012-WangC #process
Levy Measure Decompositions for the Beta and Gamma Processes (YW, LC), p. 68.
ICMLICML-2012-WilsonKG #network #process
Gaussian Process Regression Networks (AGW, DAK, ZG), p. 149.
ICMLICML-2012-WulsinJL #clustering #modelling #multi #process
A Hierarchical Dirichlet Process Model with Multiple Levels of Clustering for Human EEG Seizure Modeling (DW, SJ, BL), p. 67.
ICMLICML-2012-ZhaiHBW #image #modelling #process #using
Modeling Images using Transformed Indian Buffet Processes (KZ, YH, JLBG, SW), p. 118.
ICPRICPR-2012-BoresB #monitoring #process
Urban traffic monitoring from aerial LIDAR data with a Two-Level Marked Point Process model (AB, CB), pp. 1379–1382.
ICPRICPR-2012-DhallG #estimation #process
Group expression intensity estimation in videos via Gaussian Processes (AD, RG), pp. 3525–3528.
ICPRICPR-2012-FreytagFRD #kernel #performance #process #segmentation #semantics
Efficient semantic segmentation with Gaussian processes and histogram intersection kernels (AF, BF, ER, JD), pp. 3313–3316.
ICPRICPR-2012-LeeLHL #re-engineering #sequence #video
Super-resolution reconstruction of video sequences based on wavelet-domain spatial and temporal processing (CML, CJL, CYH, WNL), pp. 194–197.
ICPRICPR-2012-LinL #bottom-up #process #top-down
Integrating bottom-up and top-down processes for accurate pedestrian counting (YL, NL), pp. 2508–2511.
ICPRICPR-2012-LozesEL #3d
Nonlocal processing of 3D colored point clouds (FL, AE, OL), pp. 1968–1971.
ICPRICPR-2012-SuematsuH #process
Time series alignment with Gaussian processes (NS, AH), pp. 2355–2358.
ICPRICPR-2012-YilmazYK #multimodal #network #process
Non-linear weighted averaging for multimodal information fusion by employing Analytical Network Process (TY, AY, MK), pp. 234–237.
KDDKDD-2012-Chen #information management #network #social
Information processing in social networks (MSC), p. 1403.
KDDKDD-2012-KimLX #image #multi #predict #process #using #web
Web image prediction using multivariate point processes (GK, FFL, EPX), pp. 1068–1076.
KDDKDD-2012-SatoKN #process
Practical collapsed variational bayes inference for hierarchical dirichlet process (IS, KK, HN), pp. 105–113.
KDDKDD-2012-Zhang #challenge #information management
A new challenge of information processing under the 21st century (BZ), p. 224.
KDIRKDIR-2012-HaanR #detection
Detecting Temporally Related Arithmetical Patterns — An Extension of Complex Event Processing (RdH, MR), pp. 329–332.
KDIRKDIR-2012-MiaoLWZ #infinity #modelling #process #semantics #topic #wiki
Infinite Topic Modelling for Trend Tracking — Hierarchical Dirichlet Process Approaches with Wikipedia Semantic based Method (YM, CL, HW, LZ), pp. 35–44.
KEODKEOD-2012-AygulCC #multi #natural language #ontology #query
Natural Language Query Processing in Multimedia Ontologies (FAA, NKC, IC), pp. 66–75.
KEODKEOD-2012-NegruB #modelling #ontology #process #web
Persona Modeling Process — From Microdata-based Templates to Specific Web Ontologies (SN, SCB), pp. 34–42.
KEODKEOD-2012-TangRIP
Process-oriented Home Care System Transformation in Central Finland (JT, TR, JI, LGP), pp. 455–460.
KEODKEOD-2012-VegettiRGHL #development #framework #named #ontology #process
ONTOTracED: A Framework to Capture and Trace Ontology Development Processes (MV, MLR, SG, GPH, HPL), pp. 419–422.
KMISKMIS-2012-BaqueroM #framework #process
A Framework to Support Business Process Analytics (AVB, OM), pp. 321–332.
KMISKMIS-2012-GringelSS #architecture #enterprise #process #towards
Towards Process Orientation in Enterprise Architecture Management (PG, JS, US), pp. 145–151.
KMISKMIS-2012-LageA12a #approach #process
Information and Knowledge Sharing in Inter-organizational Relationships under the Process Approach (EL, BA), pp. 273–276.
KMISKMIS-2012-MenasselM #case study #formal method #novel #process
A Novel Formalization Process for Use Case Maps (YM, FM), pp. 307–310.
SEKESEKE-2012-AlbuquerquePL #approach #case study #experience #natural language #requirements #reuse
Reuse of Experiences Applied to Requirements Engineering: An Approach Based on Natural Language Processing (AA, VP, TL), pp. 574–577.
SEKESEKE-2012-FreireCAK #monitoring #process #statistics #using #workflow
Software Process Monitoring Using Statistical Process Control Integrated in Workflow Systems (MAF, DAdC, EA, UK), pp. 557–562.
SEKESEKE-2012-HoritaBB #development #process #quality
A Process Model for Human Resources Management Focused on Increasing the Quality of Software Development (FEAH, JDB, RMdB), pp. 225–230.
SEKESEKE-2012-HossianG #concept #process #requirements
Phases, Activities, and Techniques for a Requirements Conceptualization Process (AH, RGM), pp. 25–32.
SEKESEKE-2012-JlaielA #approach #novel #process #reuse #semantics #towards
Towards a Novel Semantic Approach for Process Patterns’ Capitalization and Reuse (NJ, MBA), pp. 505–510.
SEKESEKE-2012-LeeS #approach #re-engineering
A Process-Based Approach to Improving Knowledge Sharing in Software Engineering (SBL, KS), pp. 700–705.
SEKESEKE-2012-MolleriB #automation #bibliography #perspective #process
Automated Approaches to Support Secondary Study Processes: a Systematic Review (JSM, FBVB), pp. 143–147.
SEKESEKE-2012-OliveiraCEP #modelling #process #towards
Towards Autonomic Business Process Models (KO, JC, SE, OP), pp. 531–536.
SEKESEKE-2012-RadulovicG #network #process #recommendation #semantics
Semantic Technology Recommendation Based on the Analytic Network Process (FR, RGC), pp. 611–616.
SIGIRSIGIR-2012-ZhangSCC #keyword #named #performance #query
See-to-retrieve: efficient processing of spatio-visual keyword queries (CZ, LS, KC, GC), pp. 681–690.
ECMFAECMFA-2012-ElaasarL #case study #process #testing
Model Interchange Testing: A Process and a Case Study (ME, YL), pp. 49–61.
MODELSMoDELS-2012-BrancoTCKV #abstraction #process #workflow
Matching Business Process Workflows across Abstraction Levels (MCB, JT, KC, JMK, HV), pp. 626–641.
MODELSMoDELS-2012-SindicoNS #architecture #design #industrial #modelling #process
An Industrial System Engineering Process Integrating Model Driven Architecture and Model Based Design (AS, MDN, ALSV), pp. 810–826.
MODELSMoDELS-2012-BrancoTCKV #abstraction #process #workflow
Matching Business Process Workflows across Abstraction Levels (MCB, JT, KC, JMK, HV), pp. 626–641.
MODELSMoDELS-2012-SindicoNS #architecture #design #industrial #modelling #process
An Industrial System Engineering Process Integrating Model Driven Architecture and Model Based Design (AS, MDN, ALSV), pp. 810–826.
OOPSLAOOPSLA-2012-BaoZZ #nondeterminism #program analysis
White box sampling in uncertain data processing enabled by program analysis (TB, YZ, XZ), pp. 897–914.
OOPSLAOOPSLA-2012-BocqD #monad #named #network #process #streaming #using #virtual machine
Molecule: using monadic and streaming I/O to compose process networks on the JVM (SB, KD), pp. 315–334.
TOOLSTOOLS-EUROPE-2012-CorralSSSV #development #mobile #named #process #proximity
DroidSense: A Mobile Tool to Analyze Software Development Processes by Measuring Team Proximity (LC, AS, GS, JS, JV), pp. 17–33.
TOOLSTOOLS-EUROPE-2012-SoekenWD #behaviour #development #natural language #using
Assisted Behavior Driven Development Using Natural Language Processing (MS, RW, RD), pp. 269–287.
QAPLQAPL-2012-Bernardo #bisimulation #concurrent #markov #process
Weak Markovian Bisimulation Congruences and Exact CTMC-Level Aggregations for Concurrent Processes (MB), pp. 122–136.
RERE-2012-KongHDD #case study #process #traceability
Process improvement for traceability: A study of human fallibility (WKK, JHH, AD, OD), pp. 31–40.
RERE-2012-Riegel #development #modelling
Model-based prioritization in business-process-driven software development (NR), pp. 349–352.
SACSAC-2012-AccorsiS #consistency #mining #on the #process #security
On the exploitation of process mining for security audits: the conformance checking case (RA, TS), pp. 1709–1716.
SACSAC-2012-BookGB #continuation #web
Process-aware continuation management in web applications (MB, VG, MB), pp. 717–724.
SACSAC-2012-DelgadoRGP #collaboration #model transformation #process
Model transformations for Business-IT alignment: from collaborative business process to SoaML service model (AD, FR, IGRdG, MP), pp. 1720–1722.
SACSAC-2012-GocicS #paradigm #process
Service-oriented paradigm for analyzing hydrological processes (MG, DS), pp. 1994–1995.
SACSAC-2012-JafarinezhadR #process #requirements #towards
Towards a process factory for developing situational requirements engineering processes (OJ, RR), pp. 1089–1090.
SACSAC-2012-KorahSS #framework #multi #realtime #scalability
Multi-agent framework for real-time processing of large and dynamic search spaces (JK, EES, ESJ), pp. 755–762.
SACSAC-2012-LassaigneP #approximate #markov #process #scalability #verification
Approximate planning and verification for large markov decision processes (RL, SP), pp. 1314–1319.
SACSAC-2012-MonakovaBS #process #safety #security
Security and safety of assets in business processes (GM, ADB, AS), pp. 1667–1673.
SACSAC-2012-MoretBATMF #validation
Double dip map-reduce for processing cross validation jobs (DM, KB, EA, JT, RM, JVF), pp. 473–477.
SACSAC-2012-NetoA #analysis #process
Keeping decisions and rationale explicit in business process analysis (MSdSN, RMdA), pp. 1702–1708.
SACSAC-2012-ReichertKBB #personalisation #process #scalability #visualisation
Enabling personalized visualization of large business processes through parameterizable views (MR, JK, RB, TB), pp. 1653–1660.
SACSAC-2012-SantosCSLB #analysis #heuristic #identification #modelling #process
Analysis of heuristics to identify crosscutting concerns in business process models (FJNS, CC, FMS, JCSdPL, TVB), pp. 1725–1726.
SACSAC-2012-SioutasPKT #named #network #probability #query
SART: dynamic P2P query processing in sensor networks with probabilistic guarantees (SS, AP, IK, DT), pp. 847–852.
SACSAC-2012-WangTWWG #algorithm #behaviour #empirical #evaluation #mining #process
An empirical evaluation of process mining algorithms based on structural and behavioral similarities (JW, ST, LW, RKW, QG), pp. 211–213.
SACSAC-2012-ZhaoLCSS #information management #modelling #process #question
Can business process modeling bridge the gap between business and information systems? (LZ, KL, EVC, SdFMS, PS), pp. 1723–1724.
ICSEICSE-2012-AndronickJKKSZZ #perspective #process #scalability #verification
Large-scale formal verification in practice: A process perspective (JA, DRJ, GK, RK, MS, HZ, LZ), pp. 1002–1011.
ICSEICSE-2012-CasatiDDEFKMMOPQRSTV #enterprise #network #physics #process #towards
Towards business processes orchestrating the physical enterprise with wireless sensor networks (FC, FD, GD, JE, NF, SK, PMM, LM, FJO, GPP, AQ, KR, PS, ST, TV), pp. 1357–1360.
ICSEICSE-2012-LavalleeR #bibliography #developer #perspective #process
The impacts of software process improvement on developers: A systematic review (ML, PNR), pp. 113–122.
ICSEICSE-2012-MitchellS #identification #process
Software process improvement through the identification and removal of project-level knowledge flow obstacles (SMM, CBS), pp. 1265–1268.
ICSEICSE-2012-PloomSG #migration #process #scalability
Methodology for migration of long running process instances in a global large scale BPM environment in Credit Suisse’s SOA landscape (TP, SS, AG), pp. 977–986.
ICSEICSE-2012-ZhangLY #debugging #framework
An integrated bug processing framework (XZ, ML, KY), pp. 1469–1470.
PLEASEPLEASE-2012-BoffoliCCV #consistency #flexibility #process #product line
Driving flexibility and consistency of business processes by means of product-line engineering and decision tables (NB, DC, DC, GV), pp. 33–36.
HPCAHPCA-2012-LinMHSC #named #performance
Parabix: Boosting the efficiency of text processing on commodity processors (DL, NM, KSH, AS, RDC), pp. 373–384.
HPCAHPCA-2012-MillerPTST #named #process
Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips (TNM, XP, RT, NS, RT), pp. 27–38.
HPDCHPDC-2012-LohrmannWK #constraints
Massively-parallel stream processing under QoS constraints with Nephele (BL, DW, OK), pp. 271–282.
PPoPPPPoPP-2012-ZhongH #bibliography #graph
An overview of Medusa: simplified graph processing on GPUs (JZ, BH), pp. 283–284.
ICSTICST-2012-PaciMBD #evolution #process #requirements #testing
Managing Evolution by Orchestrating Requirements and Testing Engineering Processes (FP, FM, FB, SD), pp. 834–841.
ECSAECSA-2011-StolAB #design pattern #evaluation #identification #open source #process
Design and Evaluation of a Process for Identifying Architecture Patterns in Open Source Software (KJS, PA, MAB), pp. 147–163.
QoSAQoSA-ISARCS-2011-CavalcantiAM #process #quality #variability
Extending the RiPLE-DE process with quality attribute variability realization (RdOC, ESdA, SRLM), pp. 159–164.
QoSAQoSA-ISARCS-2011-Durdik #agile #architecture #development #modelling #process #towards
Towards a process for architectural modelling in agile software development (ZD), pp. 183–192.
WICSAWICSA-2011-HeeschA #architecture #bibliography #maturity #process #reasoning
Mature Architecting — A Survey about the Reasoning Process of Professional Architects (UvH, PA), pp. 260–269.
ASEASE-2011-ChenHX #approach #evaluation #machine learning #process
Software process evaluation: A machine learning approach (NC, SCHH, XX), pp. 333–342.
ASEASE-2011-LeungwattanakitAHTY #distributed #model checking #process
Model checking distributed systems by combining caching and process checkpointing (WL, CA, MH, YT, MY), pp. 103–112.
ASEASE-2011-PietschYK #generative #modelling #tool support
Generating realistic test models for model processing tools (PP, HSY, UK), pp. 620–623.
ASEASE-2011-SilvaBB #execution #process
Deviation management during process execution (MAAdS, XB, RB), pp. 528–531.
CASECASE-2011-AngererEHRR #automation #design #process
Design of an automation system for preforming processes in aerospace industries (AA, CE, AH, WR, GR), pp. 557–562.
CASECASE-2011-LeeL #clustering #concurrent #multi
Concurrent processing of multiple wafer types in a single-armed cluster tool (JHL, TEL), pp. 102–107.
CASECASE-2011-LiTL #process
A GERT-based analytical method for remanufacturing process routing (CL, YT, CL), pp. 462–467.
CASECASE-2011-MikosFG #agile #approach #distributed #injection #process #reasoning
A distributed system for rapid determination of nonconformance causes and solutions for the thermoplastic injection molding process: A Case-Based Reasoning Agents approach (WLM, JCEF, FGCG), pp. 755–760.
CASECASE-2011-SenoussiCDZ #detection #fault #feature model #process
Feature selection for fault detection systems: Application to the Tennessee Eastman Process (HS, BCM, MD, NZ), pp. 189–194.
CASECASE-2011-ThramboulidisSF #automation #industrial #process #safety #towards #verification
Towards an automated verification process for industrial safety applications (KT, DS, GF), pp. 482–487.
DACDAC-2011-AarestadLPAA #process
Characterizing within-die and die-to-die delay variations introduced by process variations and SOI history effect (JA, CL, JP, DA, KA), pp. 534–539.
DACDAC-2011-DyerMK #hybrid #modelling #process
Hybrid modeling of non-stationary process variations (ELD, MM, FK), pp. 194–199.
DACDAC-2011-HaoTSS #analysis #bound #performance #process
Performance bound analysis of analog circuits considering process variations (ZH, SXDT, RS, GS), pp. 310–315.
DACDAC-2011-Hazelwood #adaptation #embedded #runtime
Process-level virtualization for runtime adaptation of embedded software (KMH), pp. 895–900.
DACDAC-2011-LeeJ #framework #modelling #named #process
CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations (CYL, NKJ), pp. 866–871.
DACDAC-2011-SharifiK #multi #process
Process variation-aware routing in NoC based multicores (AS, MTK), pp. 924–929.
DACDAC-2011-ZhaiNS #adaptation #modelling #network #process #streaming
Modeling adaptive streaming applications with parameterized polyhedral process networks (JTZ, HN, TS), pp. 116–121.
DATEDATE-2011-BernardC #power management
A low-power VLIW processor for 3GPP-LTE complex numbers processing (CB, FC), pp. 234–239.
DATEDATE-2011-FerreiraBCMM #algorithm #process
Impact of process variation on endurance algorithms for wear-prone memories (APF, SB, BRC, RGM, DM), pp. 962–967.
DATEDATE-2011-GilaniKS #memory management #optimisation
Scratchpad memory optimizations for digital signal processing applications (SZG, NSK, MJS), pp. 974–979.
DATEDATE-2011-JuanGM #3d #evaluation #multi #process #statistics
Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations (DCJ, SG, DM), pp. 383–388.
DATEDATE-2011-KunduS #design #modelling #process
Modeling manufacturing process variation for design and test (SK, AS), pp. 1147–1152.
DATEDATE-2011-LiuHRG #optimisation #process #using
Global optimization of integrated transformers for high frequency microwave circuits using a Gaussian process based surrogate model (BL, YH, PR, GGEG), pp. 1101–1106.
DATEDATE-2011-NarayananZT #correctness #pattern matching #process #using
Ensuring correctness of analog circuits in presence of noise and process variations using pattern matching (RN, MHZ, ST), pp. 1188–1191.
DATEDATE-2011-RemondNBM #approach #design #empirical #process #simulation
Mathematical approach based on a “Design of Experiment” to simulate process variations (ER, EN, CB, RM), pp. 1486–1490.
DATEDATE-2011-SreedharK #design #identification #on the #process
On design of test structures for lithographic process corner identification (AS, SK), pp. 800–805.
DATEDATE-2011-WelpK #approach #markov #process #synthesis
An approach for dynamic selection of synthesis transformations based on Markov Decision Processes (TW, AK), pp. 1533–1536.
DocEngDocEng-2011-BultermanGCMP #documentation #html #multi
Multimedia document processing in an HTML5 world (DCAB, RLG, PC, EVM, MdGCP), pp. 273–274.
DocEngDocEng-2011-PortierC #documentation #multi #process
Introduction of a dynamic assistance to the creative process of adding dimensions to multistructured documents (PEP, SC), pp. 167–170.
DRRDRR-2011-Saund #challenge #documentation
Scientific challenges underlying production document processing (ES), pp. 1–10.
ICDARICDAR-2011-CoustatyBBL #algorithm #image #ontology #semantic gap #using
Using Ontologies to Reduce the Semantic Gap between Historians and Image Processing Algorithms (MC, AB, KB, GL), pp. 156–160.
ICDARICDAR-2011-WinderAS #algorithm #documentation #segmentation
Extending Page Segmentation Algorithms for Mixed-Layout Document Processing (AW, TLA, EHBS), pp. 1245–1249.
PODSPODS-2011-DeutchM #database #process #research
A quest for beauty and wealth (or, business processes for database researchers) (DD, TM), pp. 1–12.
PODSPODS-2011-HeBWN #complexity #on the #privacy
On the complexity of privacy-preserving complex event processing (YH, SB, DW, JFN), pp. 165–174.
SIGMODSIGMOD-2011-Bajda-PawlikowskiASP #execution #performance #query
Efficient processing of data warehousing queries in a split execution environment (KBP, DJA, AS, EP), pp. 1165–1176.
SIGMODSIGMOD-2011-HanLMHY #approach #sequence
A new approach for processing ranked subsequence matching based on ranked union (WSH, JL, YSM, SwH, HY), pp. 457–468.
SIGMODSIGMOD-2011-JinBXCZ #named #query #visual notation
GBLENDER: visual subgraph query formulation meets query processing (CJ, SSB, XX, BC, SZ), pp. 1327–1330.
SIGMODSIGMOD-2011-KllapiSTI #optimisation
Schedule optimization for data processing flows on the cloud (HK, ES, MMT, YEI), pp. 289–300.
SIGMODSIGMOD-2011-KohlerYZ #parallel #performance #using
Efficient parallel skyline processing using hyperplane projections (HK, JY, XZ), pp. 85–96.
SIGMODSIGMOD-2011-LinACOW #framework #named #pipes and filters #scalability
Llama: leveraging columnar storage for scalable join processing in the MapReduce framework (YL, DA, CC, BCO, SW), pp. 961–972.
SIGMODSIGMOD-2011-OkcanR #pipes and filters #using
Processing theta-joins using MapReduce (AO, MR), pp. 949–960.
SIGMODSIGMOD-2011-QinWLXL #performance #query #similarity #symmetry
Efficient exact edit similarity query processing with the asymmetric signature scheme (JQ, WW, YL, CX, XL), pp. 1033–1044.
SIGMODSIGMOD-2011-SoroushBW #array #named #parallel
ArrayStore: a storage manager for complex parallel array processing (ES, MB, DLW), pp. 253–264.
SIGMODSIGMOD-2011-VlachouDP #query
Skyline query processing over joins (AV, CD, NP), pp. 73–84.
VLDBVLDB-2011-AoZWSWLLL #algorithm #parallel #performance #using
Efficient Parallel Lists Intersection and Index Compression Algorithms using Graphics Processing Units (NA, FZ, DW, DSS, GW, XL, JL, SL), pp. 470–481.
VLDBVLDB-2011-BerneckerEKRZZ #nearest neighbour #nondeterminism #performance #probability #query
Efficient Probabilistic Reverse Nearest Neighbor Query Processing on Uncertain Data (TB, TE, HPK, MR, SZ, AZ), pp. 669–680.
VLDBVLDB-2011-CaoSDS #distributed #monitoring #query
Distributed inference and query processing for RFID tracking and monitoring (ZC, CAS, YD, PJS), pp. 326–337.
VLDBVLDB-2011-FengFKKMRWX #named #query
CrowdDB: Query Processing with the VLDB Crowd (AF, MJF, DK, TK, SM, SR, AW, RX), pp. 1387–1390.
VLDBVLDB-2011-FunkeKN #query #transaction
HyPer-sonic Combined Transaction AND Query Processing (FF, AK, TN), pp. 1367–1370.
VLDBVLDB-2011-PengDL #nondeterminism #optimisation #probability #query
Optimizing Probabilistic Query Processing on Continuous Uncertain Data (LP, YD, AL), pp. 1169–1180.
VLDBVLDB-2011-WangRE
Active Complex Event Processing over Event Streams (DW, EAR, RTE), pp. 634–645.
VLDBVLDB-2012-ArmbrustCKFFP11 #in the cloud #named #query
PIQL: Success-Tolerant Query Processing in the Cloud (MA, KC, TK, AF, MJF, DAP), pp. 181–192.
VLDBVLDB-2012-LinJZXL11 #performance #privacy #query
A MovingObject Index for Efficient Query Processing with Peer-Wise Location Privacy (DL, CSJ, RZ, LX, JL), pp. 37–48.
CSEETCSEET-2011-GalvaoARAFG #education #learning #logic programming #process
A proposal for an educational system service to support teaching/learning process for logic programming (ERDG, RRdA, CMOR, SCA, FF, VCG), p. 556.
CSEETCSEET-2011-LiB #education #process #re-engineering #research #validation #verification
Making winners for both education and research: Verification and validation process improvement practice in a software engineering course (QL, BWB), pp. 304–313.
ITiCSEITiCSE-2011-HarrachA #collaboration #learning #optimisation #process #recommendation #using
Optimizing collaborative learning processes by using recommendation systems (SH, MA), p. 389.
ITiCSEITiCSE-2011-MesserK #problem #process
The use of mediating artifacts in embedding problem solving processes in an e-learning environment (OMM, AK), p. 390.
ITiCSEITiCSE-2011-PearsonBG #evaluation #process #web
A tool to support the web accessibility evaluation process for novices (EP, CB, SG), pp. 28–32.
FoSSaCSFoSSaCS-2011-PierardS #bisimulation #calculus #distributed #higher-order #process
Sound Bisimulations for Higher-Order Distributed Process Calculus (AP, ES), pp. 123–137.
TACASTACAS-2011-GaravelLMS #analysis #distributed #process
CADP 2010: A Toolbox for the Construction and Analysis of Distributed Processes (HG, FL, RM, WS), pp. 372–387.
TACASTACAS-2011-KieferW #on the #parallel #probability #process #source code
On Probabilistic Parallel Programs with Process Creation and Synchronisation (SK, DW), pp. 296–310.
ICPCICPC-J-2009-SamalikovaKTWS11 #case study #experience #process #towards
Toward objective software process information: experiences from a case study (JS, RJK, JJMT, TW, PS), pp. 101–120.
CSMRCSMR-2011-AlawnehH #abstraction #communication #pattern matching #pattern recognition #recognition
Pattern Recognition Techniques Applied to the Abstraction of Traces of Inter-Process Communication (LA, AHL), pp. 211–220.
CSMRCSMR-2011-PoncinSB #mining #process #repository
Process Mining Software Repositories (WP, AS, MvdB), pp. 5–14.
CSMRCSMR-2011-StojanovicOCD #coordination #development #named #open source #realtime
ALERT: Active Support and Real-Time Coordination Based on Event Processing in Open Source Software Development (LS, FO, LC, SD), pp. 359–362.
CSMRCSMR-2011-ZillmannWHTTFHREKUZ #industrial #process
The SOAMIG Process Model in Industrial Applications (CZ, AW, AH, WT, MT, AF, TH, VR, UE, UK, DU, YZ), pp. 339–342.
ICPCICPC-2011-TothVBG #complexity #metric #predict #process
Adding Process Metrics to Enhance Modification Complexity Prediction (GT, AZV, ÁB, TG), pp. 201–204.
ICSMEICSM-2011-Hindle #perspective #process
Evidence-based software process recovery: A post-doctoral view (AH), pp. 562–567.
ICSMEICSM-2011-Perez-CastilloFGP #process
MARBLE. A business process archeology tool (RPC, MFR, IGRdG, MP), pp. 578–581.
ICSMEICSM-2011-WangPXZ #case study #feature model #process
An exploratory study of feature location process: Distinct phases, recurring patterns, and elementary actions (JW, XP, ZX, WZ), pp. 213–222.
ICALPICALP-v1-2011-Coja-OghlanP #process #random #satisfiability
The Decimation Process in Random k-SAT (ACO, AYPP), pp. 305–316.
FMFM-2011-ChenLW #communication #process #refinement
Failure-Divergence Refinement of Compensating Communicating Processes (ZC, ZL, JW), pp. 262–277.
SEFMSEFM-2011-HildebrandtMS #declarative #process
Safe Distribution of Declarative Processes (TTH, RRM, TS), pp. 237–252.
SEFMSEFM-2011-Pedercini #communication #modelling #policy #process
Models and Communication in the Policy Process (MP), pp. 35–37.
SEFMSEFM-2011-TongSJ #approach #formal method #information management #process
A Formal Approach to Analysing Knowledge Transfer Processes in Developing Countries (JT, SAS, AEJ), pp. 486–501.
CEFPCEFP-2011-MichaelsonG #calculus #multi #reasoning
Reasoning about Multi-process Systems with the Box Calculus (GM, GG), pp. 279–338.
AGTIVEAGTIVE-2011-FurstMM #graph grammar #heuristic #induction #process
Graph Grammar Induction as a Parser-Controlled Heuristic Search Process (LF, MM, VM), pp. 121–136.
AGTIVEAGTIVE-2011-Proctor #named
Drools: A Rule Engine for Complex Event Processing (MP), p. 2.
CHICHI-2011-ChilanaGF #multi #process
Modern software product support processes and the usage of multimedia formats (PKC, TG, GWF), pp. 3093–3102.
CHICHI-2011-MendelsFO #design #multi #named #process
Freed: a system for creating multiple views of a digital collection during the design process (PM, JWF, KO), pp. 1481–1490.
CSCWCSCW-2011-ShaoWY #enterprise #monitoring #multi
A production monitoring and data processing system for the textile enterprise based on multi-Agent (JS, JW, LY), pp. 713–716.
HCIDUXU-v1-2011-ChampneyKS #design #performance #process
Making the Design Process More Usable: Aligning Design with User Performance (RKC, CK, KMS), pp. 33–42.
HCIDUXU-v1-2011-KimLML #collaboration #design #generative #idea #interface #process
Developing Idea Generation for the Interface Design Process with Mass Collaboration System (DK, SSL, SM, KPL), pp. 69–76.
HCIDUXU-v1-2011-Renner #design #how #image #process #question #visual notation
Image, Imagination, Innovation: How Can We Overcome the Common Ground in the Processes of Visual Design? (MR), pp. 285–294.
HCIHCD-2011-ChoiS #approach #design #implementation #process
A Design-Supporting Tool for Implementing the Learning-Based Approach: Accommodating Users’ Domain Knowledge into Design Processes (JMC, KS), pp. 369–378.
HCIHCD-2011-KoikeOTMMKUKY #collaboration #communication #process
Co-creation Process of Collaborative Work with Communication Robot (SK, TO, ST, TM, YM, DK, KU, KK, KY), pp. 417–424.
HCIHCI-DDA-2011-Fernandez-LlatasMSN #interactive #process #simulation
Process Choreography for Human Interaction Computer-Aided Simulation (CFL, JBM, PS, JCN), pp. 214–220.
HCIHCI-UA-2011-NagaiKI #learning #process
A Drawing Learning Support System with Auto-evaluating Function Based on the Drawing Process Model (TN, MK, KI), pp. 97–106.
HCIHIMI-v1-2011-HirasawaOY #design #process #user interface
Connecting Envisioning Process to User Interface Design Process (NH, SO, KYK), pp. 13–19.
HCIHIMI-v1-2011-MaeshiroNM #composition #music #process #representation
Representation of Decision Making Process in Music Composition Based on Hypernetwork Model (TM, SiN, MM), pp. 109–117.
HCIHIMI-v1-2011-MurakamiK #music #parametricity #process
Auditory Feature Parameters for Music Based on Human Auditory Processes (MM, TK), pp. 612–617.
HCIHIMI-v1-2011-NasuKAN #information management
Information Processing for Constructing Tactile Perception of Motion: A MEG Study (AN, KK, TA, SN), pp. 478–487.
HCIHIMI-v2-2011-LeeCT #comparison #process #re-engineering
ICT-Enabled Business Process Re-engineering: International Comparison (YCL, PYC, HLT), pp. 278–284.
HCIHIMI-v2-2011-SakataM #collaboration #communication #process
Process in Establishing Communication in Collaborative Creation (MS, KM), pp. 315–324.
HCIIDGD-2011-HsuLL #case study #design #development #framework #process
A Study of Framework and Process Development for Cultural Product Design (CHH, CLL, RL), pp. 55–64.
HCIOCSC-2011-Alducin-QuinteroCMGJ #design #modelling #process #using
Productivity Improvement by Using Social-Annotations about Design Intent in CAD Modelling Process (GAQ, MC, JMG, DAGZ, MDJ), pp. 153–161.
CAiSECAiSE-2011-AuerBKS #case study #process #research
Exploratory Case Study Research on SOA Investment Decision Processes in Austria (LA, EB, NK, CS), pp. 329–336.
CAiSECAiSE-2011-AwadGTW #approach #process #synthesis
An Iterative Approach for Business Process Template Synthesis from Compliance Rules (AA, RG, JT, MW), pp. 406–421.
CAiSECAiSE-2011-BiderJS #architecture #case study #communication #experience #process #using
Experiences of Using Different Communication Styles in Business Process Support Systems with the Shared Spaces Architecture (IB, PJ, RS), pp. 299–313.
CAiSECAiSE-2011-BoseAZP #concept #mining #process
Handling Concept Drift in Process Mining (RPJCB, WMPvdA, IZ, MP), pp. 391–405.
CAiSECAiSE-2011-CabanillasRCA #automation #generative #process
Automatic Generation of a Data-Centered View of Business Processes (CC, MR, ARC, AA), pp. 352–366.
CAiSECAiSE-2011-ComuzziV #collaboration #design #monitoring #process #workflow
Product-Based Workflow Design for Monitoring of Collaborative Business Processes (MC, ITPV), pp. 154–168.
CAiSECAiSE-2011-DelgadoRGP #generative #process
Business Process Service Oriented Methodology (BPSOM) with Service Generation in SoaML (AD, FR, IGRdG, MP), pp. 672–680.
CAiSECAiSE-2011-DornD #process #self
Supporting Dynamic, People-Driven Processes through Self-learning of Message Flows (CD, SD), pp. 657–671.
CAiSECAiSE-2011-FernandezAI #development #evaluation #modelling #process #usability #web
A Web Usability Evaluation Process for Model-Driven Web Development (AF, SA, EI), pp. 108–122.
CAiSECAiSE-2011-FiglL #complexity #modelling #process
Cognitive Complexity in Business Process Modeling (KF, RL), pp. 452–466.
CAiSECAiSE-2011-FriedrichMP #generative #natural language #process
Process Model Generation from Natural Language Text (FF, JM, FP), pp. 482–496.
CAiSECAiSE-2011-GronerWBPWHGS #process #product line #validation
Validation of Families of Business Processes (GG, CW, MB, FSP, TW, FH, DG, SS), pp. 551–565.
CAiSECAiSE-2011-KabicherR #analysis #process
Human-Centered Process Engineering Based on Content Analysis and Process View Aggregation (SK, SRM), pp. 467–481.
CAiSECAiSE-2011-KhalufGE #constraints #formal method #modelling #process #quality
Pattern-Based Modeling and Formalizing of Business Process Quality Constraints (LK, CG, GE), pp. 521–535.
CAiSECAiSE-2011-LeopoldMR #automation #modelling #on the #process
On the Automatic Labeling of Process Models (HL, JM, HAR), pp. 512–520.
CAiSECAiSE-2011-LuebbeW #empirical #modelling #process
Tangible Media in Process Modeling — A Controlled Experiment (AL, MW), pp. 283–298.
CAiSECAiSE-2011-SmirnovRW #abstraction #approach #process #semantics
A Semantic Approach for Business Process Model Abstraction (SS, HAR, MW), pp. 497–511.
CAiSECAiSE-2011-WeidlichMW #approach #process #variability
A Foundational Approach for Managing Process Variability (MW, JM, MW), pp. 267–282.
EDOCEDOC-2011-MilanovicGR #flexibility #modelling #process
Modeling Flexible Business Processes with Business Rule Patterns (MM, DG, LR), pp. 65–74.
EDOCEDOC-2011-Papazoglou #process #standard
Making Business Processes Compliant to Standards and Regulations (MPP), pp. 3–13.
EDOCEDOC-2011-TongBKPL #architecture #challenge #embedded #enterprise #industrial #mobile #process
Enterprise Architecture for Addressing Business Transformation Challenges: The Case of Embedded Mobile Provisioning Process in the Telecommunications Industry (MT, HB, MK, JP, GL), pp. 35–43.
EDOCEDOC-2011-UotiJKOG #collaboration #configuration management #process
Project Alignment: A Configurable Model and Tool for Managing Critical Shared Processes in Collaborative Projects (MU, KJ, IK, MO, SG), pp. 87–96.
EDOCEDOC-2011-VaculinHHCNS #declarative #modelling #process
Declarative business artifact centric modeling of decision and knowledge intensive business processes (RV, RH, TH, CC, AN, PS), pp. 151–160.
ICEISICEIS-J-2011-BezerraH11a #community #process #wiki
Applying Conflict Management Process to Wiki Communities (JdMB, CMH), pp. 333–348.
ICEISICEIS-J-2011-CarvalhoSRP #named
PAR-COM: A New Methodology for Post-processing Association Rules (VOdC, FFdS, SOR, RdP), pp. 66–80.
ICEISICEIS-J-2011-ChangS11a #analysis #mobile #process #research #simulation
Research on Grid-Based Mobile Business Process and Analysis on Process Simulation (DC, LS), pp. 349–361.
ICEISICEIS-J-2011-GuLZWLSLW #enterprise #evaluation #generative #information management #process #safety
Intelligent Information Acquisition and Utilization in Safety Evaluation Decision Making Process of Power Generation Enterprises (DxG, CyL, JZ, JW, WL, JS, WL, YW), pp. 162–177.
ICEISICEIS-J-2011-PereiraBOM #consistency #process #set
A Set of Well-Formedness Rules to Checking the Consistency of the Software Processes Based on SPEM 2.0 (EBP, RMB, TCO, MCM), pp. 284–299.
ICEISICEIS-J-2011-TahamtanOTH11a #process
Temporal Management of WS-BPEL Processes (AT, CO, AMT, AH), pp. 256–269.
ICEISICEIS-v1-2011-CarvalhoSR #clustering #metric
Post-processing Association Association Rules with Clustering and Objective Measures (VOdC, FFdS, SOR), pp. 54–63.
ICEISICEIS-v1-2011-ChangLZ #e-commerce #grid #mobile #process #research #simulation
Simulation Research on the Mobile e-Commerce Process of Non-grid and Grid based on Arena (DC, YL, SZ), pp. 486–494.
ICEISICEIS-v1-2011-JiG #framework #process #research
Research Process Oriented Intelligent Decision Support System Framework (YJ, JG), pp. 534–538.
ICEISICEIS-v1-2011-PreisslerHL #cost analysis #deployment #process
Cost-based Business Process Deployment Advisor (SP, DH, WL), pp. 211–216.
ICEISICEIS-v1-2011-RamirezC #fault #process #using
Fault Diagnosis of Batch Processes Release using PCA Contribution Plots as Fault Signatures (AWR, JCL), pp. 223–228.
ICEISICEIS-v1-2011-SantosP #data mining #mining #preprocessor #ubiquitous
Enabling Ubiquitous Data Mining in Intensive Care — Features Selection and Data Pre-processing (MS, FP), pp. 261–266.
ICEISICEIS-v1-2011-WangRP #process #research
Research of Circular Logistics Process of Telecommunications Operators (YW, YR, LP), pp. 308–313.
ICEISICEIS-v1-2011-YamaguchiDF #guidelines #process #visualisation
Guidelines for the Choice of Visualization Techniques Applied in the Process of Knowledge Extraction (JKY, MMD, CF), pp. 183–189.
ICEISICEIS-v3-2011-BoudebousBBS #approach #design #distributed #industrial #process
Design Approach of Distributed Systems for the Control of Industrial Process (DB, JB, SB, NS), pp. 157–164.
ICEISICEIS-v3-2011-CamposO #development #modelling #process
Modeling Work Processes and Software Development — Notation and Tool (ALNC, TCdO), pp. 337–343.
ICEISICEIS-v3-2011-HastbackaKK #development #industrial #modelling #process
Business Process Modeling and SOA in Industrial O&M Application Development (DH, PK, SK), pp. 277–285.
ICEISICEIS-v3-2011-LazarteVCTI #design #integration #modelling #process
An MDA-based Method for Designing Integration Process Models in B2B Collaborations (IML, PDV, OC, LHT, CI), pp. 55–65.
ICEISICEIS-v3-2011-PereiraBMO #consistency #process
Improving the Consistency of SPEM-based Software Processes (EBP, RMB, MdCM, TCdO), pp. 76–86.
ICEISICEIS-v3-2011-WangCLX #evaluation #process
Application of Analytic Hierarchy Process on Calculating the Weights of Economic Model Evaluation (DW, DC, NL, JX), pp. 108–116.
ICEISICEIS-v4-2011-BezerraH #community #process
Conflict Management Process for Virtual Communities (JdMB, CMH), pp. 33–42.
ICEISICEIS-v4-2011-ChangS #mobile #process #research #simulation
Research on Grid-based Mobile Business Process and Simulation (DC, LS), pp. 86–93.
ICEISICEIS-v4-2011-Ganesan #enterprise #framework #modelling #process #using
Composite Enterprise Process Modeling (CEProM) Framework — Setting up a Process Modeling Center of Excellence using CEProM Framework (EG), pp. 378–383.
ICEISICEIS-v4-2011-Naderipour #ad hoc #industrial #mining #process #using
Mining of Ad-hoc Business Processes using Microsoft Sharepoint, Nitro & Prom 6.0 — An Industrial Practice (FN), pp. 413–418.
ICEISICEIS-v4-2011-NgosiHCW #architecture #design #development #enterprise #network #process
Design Science and Actor Network Theory Nexus — A Perspective of Content Development of a Critical Process for Enterprise Architecture Management (TN, MH, MC, EW), pp. 449–456.
ICEISICEIS-v4-2011-OndoaDS #case study #evaluation #industrial #process
A Cross Industry Evaluation of Critical Success Factors for Alignment of Strategy and Business Processes — A Case Study of SMEs in the Region of Jönköping in Sweden (MNEO, FAD, US), pp. 338–347.
ICEISICEIS-v4-2011-SchiefKZRWSMME #internet #process
The ADiWa Project — On the Way to Just-in-time Process Dynamics based on Events from the Internet of Things (MS, CK, BZ, PR, WW, JS, DM, HM, JE), pp. 371–377.
ICEISICEIS-v4-2011-ShiZ #enterprise #industrial #process #re-engineering
The Business Process Reengineering Study of Steel Industry based on ERP (XS, BZ), pp. 591–594.
ICEISICEIS-v4-2011-SongH #design #internet #optimisation #process
Optimization of Import Business Process and System Design in Bulk Port based on Internet of Things (XS, LH), pp. 509–512.
ICEISICEIS-v4-2011-WangG #game studies #integration #research
Research on the Operational Integration Processing of Telecom Operators based on Game Theory (XW, ZG), pp. 444–448.
CIKMCIKM-2011-AzzamRY #query #sql
Ranking-based processing of SQL queries (HA, TR, SY), pp. 231–236.
CIKMCIKM-2011-ChenHAXHP #image #modelling #process
Perspective hierarchical dirichlet process for user-tagged image modeling (XC, XH, YA, ZX, TH, EKP), pp. 1341–1346.
CIKMCIKM-2011-ChristoforakiHDMS #performance #query
Text vs. space: efficient geo-search query processing (MC, JH, CD, AM, TS), pp. 423–432.
CIKMCIKM-2011-GuirguisSCL #multi #query
Optimized processing of multiple aggregate continuous queries (SG, MAS, PKC, AL), pp. 1515–1524.
CIKMCIKM-2011-KimO #dependence #process
Accounting for data dependencies within a hierarchical dirichlet process mixture model (DK, AHO), pp. 873–878.
CIKMCIKM-2011-KrulisLBSS #architecture #distance #gpu #manycore #polynomial
Processing the signature quadratic form distance on many-core GPU architectures (MK, JL, CB, TS, TS), pp. 2373–2376.
CIKMCIKM-2011-MaZSDC #query
Matching query processing in high-dimensional space (CM, YZ, LS, DD, GC), pp. 1589–1594.
CIKMCIKM-2011-ParkSBY #distance #nearest neighbour #query
k-Nearest neighbor query processing method based on distance relation pattern (YP, DS, KB, JY), pp. 2413–2416.
CIKMCIKM-2011-PeukertER #process #rule-based
Rule-based construction of matching processes (EP, JE, ER), pp. 2421–2424.
CIKMCIKM-2011-RenMWL #process #thread #topic #web
Summarizing web forum threads based on a latent topic propagation process (ZR, JM, SW, YL), pp. 879–884.
CIKMCIKM-2011-ShastriDRW #multi #named #scalability
MTopS: scalable processing of continuous top-k multi-query workloads (AS, DY, EAR, MOW), pp. 1107–1116.
ECIRECIR-2011-FrommholzPLR #framework #information retrieval #query
Processing Queries in Session in a Quantum-Inspired IR Framework (IF, BP, ML, KvR), pp. 751–754.
ECIRECIR-2011-ZellhoferFSLR #information retrieval #principle #towards
Towards Quantum-Based DB+IR Processing Based on the Principle of Polyrepresentation (DZ, IF, IS, ML, KvR), pp. 729–732.
ICMLICML-2011-ChenPSDC #analysis #learning #process
The Hierarchical Beta Process for Convolutional Factor Analysis and Deep Learning (BC, GP, GS, DBD, LC), pp. 361–368.
ICMLICML-2011-KuleszaT #named #process
k-DPPs: Fixed-Size Determinantal Point Processes (AK, BT), pp. 1193–1200.
ICMLICML-2011-Lazaro-GredillaT #process
Variational Heteroscedastic Gaussian Process Regression (MLG, MKT), pp. 841–848.
ICMLICML-2011-MannorT #markov #optimisation #process
Mean-Variance Optimization in Markov Decision Processes (SM, JNT), pp. 177–184.
ICMLICML-2011-PaisleyCB #process
Variational Inference for Stick-Breaking Beta Process Priors (JWP, LC, DMB), pp. 889–896.
ICMLICML-2011-RaiD #process
Beam Search based MAP Estimates for the Indian Buffet Process (PR, HDI), pp. 705–712.
ICMLICML-2011-ThomasB #markov #process
Conjugate Markov Decision Processes (PST, AGB), pp. 137–144.
ICMLICML-2011-ZhuCX #infinity #kernel #process
Infinite SVM: a Dirichlet Process Mixture of Large-margin Kernel Machines (JZ, NC, EPX), pp. 617–624.
KDIRKDIR-2011-RomeiT #process #programming #using #xquery
Programming the KDD Process using XQuery (AR, FT), pp. 131–139.
KEODKEOD-2011-MunozCLCP #enterprise #framework #integration #ontology #process
Ontological Framework for the Enterprise from a Process Perspective — Operational, Tactical and Strategic Integration for Improved Decision-making (EM, ECG, JML, AEC, LP), pp. 538–546.
KEODKEOD-2011-OmraneNS #concept #ontology #process
From Linguistics to Ontologies — The Role of Named Entities in the Conceptualisation Process (NO, AN, SS), pp. 249–254.
KMISKMIS-2011-FortierS #modelling #process #requirements #using
Defining Information Requirements — Using Business Process Modeling for Incident Response for Chemical Facilities (SCF, GLS), pp. 411–417.
KMISKMIS-2011-GoncalvesP #approach #challenge #process #towards
Business Processes and Organisations — Challenges and Opportunities Towards a People-centric Approach (NPG, JASP), pp. 418–423.
KMISKMIS-2011-GretschMH #implementation #process
The Difficulty of Finding Experts — Implementation Process of Corporate Yellow Pages (SG, HM, JH), pp. 48–56.
KMISKMIS-2011-Grim-YefsahRT11a #network #process #robust #using
Using Information of an Informal Network to Evaluate Business Process Robustness (MGY, CRS, VTG), pp. 430–435.
KMISKMIS-2011-Jurczyk-BunkowskaJ #case study #experience #information management #process
Perspectives of Knowledge Management System Application in Innovation Processes — A Study based on Experience of Polish IT Company (MJB, KJ), pp. 287–293.
KMISKMIS-2011-NiedermannSM #optimisation #process #repository
Managing Insights: A Repository for Process Analytics, Optimization and Decision Support (FN, HS, BM), pp. 424–429.
KMISKMIS-2011-Nishioka #process #using
Quotation Process Management of One-of-a-kind Production using PSLX Information Model (YN), pp. 167–172.
KMISKMIS-2011-RamosSB #process
A Method for Discovering the Relevance of External Context Variables to Business Processes (ECR, FMS, FAB), pp. 399–408.
MLDMMLDM-2011-ShengT #process
Boosting Inspired Process for Improving AUC (VSS, RT), pp. 199–209.
SEKESEKE-2011-AlmeidaMA #analysis #execution #process #using
Analysis of the continuity of software processes execution in software organizations assessed in MPS.BR using Grounded Theory (CDAdA, TCM, AA), pp. 792–797.
SEKESEKE-2011-BalbinoAM #agile #process #product line
An Agile Scoping Process for Software Product Lines (MB, ESdA, SRdLM), pp. 717–722.
SEKESEKE-2011-BasriO #development #information management #process
The Impact of Software Development Team Dynamics on the Knowledge Management Process(S) (SB, RVO), pp. 339–342.
SEKESEKE-2011-BozRSTNP #information management #process
A Virtual Catalyst in the Knowledge Acquisition Process (GBJ, MPR, GYS, CAT, JCN, ECP), pp. 149–152.
SEKESEKE-2011-ChenHS #adaptation #analysis #modelling #process #towards #validation
Towards Modeling and Validating Analysis Processes for Software Adaptation (XC, GH, LS), pp. 547–552.
SEKESEKE-2011-DiawLC #implementation #metamodelling #process #specification
Specification and Implementation of SPEM4MDE, a metamodel for MDE software processes (SD, RL, BC), pp. 646–653.
SEKESEKE-2011-FreireAKAC #approach #automation #deployment #modelling #monitoring #process
Automatic Deployment and Monitoring of Software Processes: A Model-Driven Approach (MAF, FAA, UK, EA, RC), pp. 42–47.
SEKESEKE-2011-GuntherNGD #network #process
Causal Networks Based Process Improvement (DG, RN, KG, RRD), pp. 462–465.
SEKESEKE-2011-JuniorGM #analysis #architecture #product line #trade-off
A Meta-Process to Support Trade-Off Analysis in Software Product Line Architecture (EAdOJ, IMdSG, JCM), pp. 687–692.
SEKESEKE-2011-Krishna #approach #non-functional #process #requirements #uml
A Process Oriented Approach to Model Non-Functional Requirements Proposition Extending UML (AK), pp. 736–739.
SEKESEKE-2011-LemosSLO #consistency #development #mining #process
Conformance Checking of Software Development Processes Through Process Mining (AML, CCS, RMFL, CALO), pp. 654–659.
SEKESEKE-2011-MachadoNAM #named #process #product line #testing
RiPLE-TE: A Process for Testing Software Product Lines (IdCM, PAdMSN, ESdA, SRdLM), pp. 711–716.
SEKESEKE-2011-MordinyiMBD #adaptation #flexibility #process
Flexible Support for Adaptable Software and Systems Engineering Processes (RM, TM, SB, DD), pp. 608–612.
SEKESEKE-2011-WagnerFF #process #security #using
Using Security Patterns to Tailor Software Process (RW, LMF, ABF), pp. 672–677.
SEKESEKE-2011-XieLW #modelling #process #reliability #web #web service
A Web Service Reliability Model Based on Birth-Death Process (CX, BL, XW), pp. 625–628.
SEKESEKE-2011-ZhouWC #information management #process
Knowledge Engineering in the domain of Carbon Dioxide Capture Process System (QZ, AJW, CWC), pp. 414–419.
SIGIRSIGIR-2011-KayaaslanCBJA #energy #multi #query #web
Energy-price-driven query processing in multi-center web search engines (EK, BBC, RB, FPJ, CA), pp. 983–992.
ECMFAECMFA-2011-BendraouLCG #modelling
Process-Centred Approaches for Model-Driven Engineering (PMDE) — First Edition (RB, RL, BC, MPG), pp. 383–384.
ECMFAECMFA-2011-EllnerADJKP #distributed #execution #modelling #process
A FUML-Based Distributed Execution Machine for Enacting Software Process Models (RE, SAH, JD, MJ, DK, MP), pp. 19–34.
MODELSMoDELS-2011-ReggioRSCD #modelling #precise #process
A Precise Style for Business Process Modelling: Results from Two Controlled Experiments (GR, FR, GS, FDC, GD), pp. 138–152.
MODELSMoDELS-2011-ReggioRSCD #modelling #precise #process
A Precise Style for Business Process Modelling: Results from Two Controlled Experiments (GR, FR, GS, FDC, GD), pp. 138–152.
QAPLQAPL-2011-DengGHM #probability #process #testing
Real-Reward Testing for Probabilistic Processes (Extended Abstract) (YD, RJvG, MH, CM), pp. 61–73.
PADLPADL-2011-FodorAR
Results on Out-of-Order Event Processing (PF, DA, SR), pp. 220–234.
POPLPOPL-2011-AlurC #algorithm #source code #streaming #transducer #verification
Streaming transducers for algorithmic verification of single-pass list-processing programs (RA, PC), pp. 599–610.
POPLPOPL-2011-FengDY #bisimulation #process #quantum
Bisimulation for quantum processes (YF, RD, MY), pp. 523–534.
POPLPOPL-2011-Gulwani #automation #spreadsheet #string #using
Automating string processing in spreadsheets using input-output examples (SG), pp. 317–330.
RERE-2011-KomssiKTLD #analysis #perspective #process
Integrating analysis of customers’ processes into roadmapping: The value-creation perspective (MK, MK, HT, LL, AMD), pp. 57–66.
REFSQREFSQ-2011-HenkelP #perspective #requirements
E-Service Requirements from a Consumer-Process Perspective (MH, EP), pp. 121–135.
REFSQREFSQ-2011-MarkovHC #case study #industrial #process #requirements
Requirements Engineering Process Improvement: An Industrial Case Study (GAM, AH, OC), pp. 34–47.
SACSAC-2011-AdaikkalavanP #query
Secure shared continuous query processing (RA, TP), pp. 1000–1005.
SACSAC-2011-ClevenWW #analysis #design #performance #problem #process
Process performance management: illuminating design issues through a systematic problem analysis (AC, RW, FW), pp. 280–286.
SACSAC-2011-JanieschMMVB #architecture #named #process #realtime
Slipstream: architecture options for real-time process analytics (CJ, MM, OM, RV, JB), pp. 295–300.
SACSAC-2011-LiOZ #approach #collaboration #modelling #process
An integrated approach for modeling and facilitating RFID-based collaborative logistics processes (YL, AO, HZ), pp. 301–307.
SACSAC-2011-Perez-CastilloGPWP #comparison #empirical #mining #process
An empirical comparison of static and dynamic business process mining (RPC, IGRdG, MP, BW, ÁSP), pp. 272–279.
SACSAC-2011-RamosCAA #case study #modelling #process #towards
Towards the improvement of use case models: the AIRDoc process (RAR, JBdC, JA, FMRA), pp. 708–709.
SACSAC-2011-TranCTV #automation #modelling #process #reuse
Automatic reuse of process patterns in process modeling (HNT, BC, TDT, MHV), pp. 1431–1438.
SACSAC-2011-ZhangWAWLL #performance #using
Fast lists intersection with Bloom filter using graphics processing units (FZ, DW, NA, GW, XL, JL), pp. 825–826.
ESEC-FSEESEC-FSE-2011-CostacheKK #design #implementation #process #validation
Design and validation of feature-based process model tailoring: a sample implementation of PDE (DC, GK, MK), pp. 464–467.
ESEC-FSEESEC-FSE-2011-Mori #adaptation #lifecycle #process
A software lifecycle process for context-aware adaptive systems (MM), pp. 412–415.
ESEC-FSEESEC-FSE-2011-Prause #process #quality #research #self
Reputation-based self-management of software process artifact quality in consortium research projects (CP), pp. 380–383.
ICSEICSE-2011-CuddebackDHHK #process #requirements #towards
Towards overcoming human analyst fallibility in the requirements tracing process (DC, AD, JHH, JH, WKK), pp. 860–863.
ICSEICSE-2011-FujiiDF #assessment #development #incremental #process #reliability #towards
Towards quantitative software reliability assessment in incremental development processes (TF, TD, TF), pp. 41–50.
ICSEICSE-2011-LaymanBZF #case study #process #safety
A case study of measuring process risk for early insights into software safety (LL, VRB, MVZ, KLF), pp. 623–632.
ICSEICSE-2011-Salah #agile #design #development #framework #integration #process
A framework for the integration of user centered design and agile software development processes (DS), pp. 1132–1133.
ICSEICSE-2011-Sethanandha #open source #process #tool support
Improving open source software patch contribution process: methods and tools (BDS), pp. 1134–1135.
ICSEICSE-2011-SimidchievaO #process
Characterizing process variation (BIS, LJO), pp. 836–839.
ICSEICSE-2011-ZhangJHHZ #process #simulation
Impact of process simulation on software practice: an initial report (HZ, DRJ, DH, LH, LZ), pp. 1046–1056.
SLESLE-2011-ErwigW #design #exclamation #process #semantics
Semantics First! — Rethinking the Language Design Process (ME, EW), pp. 243–262.
SPLCSPLC-2011-ChenE #optimisation #process
Optimizing the Product Derivation Process (SC, ME), pp. 35–44.
HPCAHPCA-2011-ChenLZ #memory management #parallel #performance
Essential roles of exploiting internal parallelism of flash memory based solid state drives in high-speed data processing (FC, RL, XZ), pp. 266–277.
SOSPSOSP-2011-LaadanVTBYN #detection #pervasive #process
Pervasive detection of process races in deployed systems (OL, NV, CcT, CB, JY, JN), pp. 353–367.
SOSPSOSP-2011-PopaRZB #named #query
CryptDB: protecting confidentiality with encrypted query processing (RAP, CMSR, NZ, HB), pp. 85–100.
CAVCAV-2011-ChatterjeeHJS #algorithm #analysis #automaton #markov #process
Symbolic Algorithms for Qualitative Analysis of Markov Decision Processes with Büchi Objectives (KC, MH, MJ, NS), pp. 260–276.
ICLPICLP-2011-Hanus #declarative #web
Declarative Processing of Semistructured Web Data (MH), pp. 198–208.
LICSLICS-2011-BrazdilBCFK #markov #multi #process
Two Views on Multiple Mean-Payoff Objectives in Markov Decision Processes (TB, VB, KC, VF, AK), pp. 33–42.
VMCAIVMCAI-2011-GawlitzaLMSW #analysis #concurrent #process #reachability #source code
Join-Lock-Sensitive Forward Reachability Analysis for Concurrent Programs with Dynamic Process Creation (TMG, PL, MMO, HS, AW), pp. 199–213.
ECSAECSA-2010-ChardignyS #architecture #documentation #object-oriented #process #source code
Software Architecture Recovery Process Based on Object-Oriented Source Code and Documentation (SC, AS), pp. 409–416.
ECSAECSA-2010-HeeschA #architecture #bibliography #comprehension #process #reasoning #student
Naive Architecting — Understanding the Reasoning Process of Students — A Descriptive Survey (UvH, PA), pp. 24–37.
ASEASE-2010-GabrysiakGS #behaviour #interactive #multi #process #requirements #validation
Deriving behavior of multi-user processes from interactive requirements validation (GG, HG, AS), pp. 355–356.
CASECASE-2010-CaiM #analysis #approach #hybrid #industrial #process
Dwell-time approach to stability analysis for hybrid control systems: Application to an industrial refrigeration process (CC, SM), pp. 728–733.
CASECASE-2010-ChenWZZF #analysis #performance #process #towards
Towards performance analysis of wheel loading process in automotive manufacturing (HC, JW, BZ, GZ, TAF), pp. 234–239.
CASECASE-2010-GengAXJ #case study #process
Experimental study of Magnetic Resonance Imaging examination reservation process for stroke patients (NG, VA, XX, ZJ), pp. 774–779.
CASECASE-2010-ParkB
Utility-based dynamic control of batch processing systems (HP, AB), pp. 439–444.
CASECASE-2010-SaundersJMOM #automation #design #modelling #process
Model-based design automation and process automation in titanium sheet metal manufacturing (GS, MKJ, JM, CO, MM), pp. 873–878.
CASECASE-2010-SchirruPN #maintenance #predict #process #robust
Particle filtering of hidden Gamma processes for robust Predictive Maintenance in semiconductor manufacturing (AS, SP, GDN), pp. 51–56.
CASECASE-2010-SchirruPN10a #multi #process #statistics
Multilevel statistical process control of asynchronous multi-stream processes in semiconductor manufacturing (AS, SP, GDN), pp. 57–62.
DACDAC-2010-FengZ #analysis #grid #parallel #power management #robust
Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis (ZF, ZZ), pp. 661–666.
DACDAC-2010-HuangX #performance #process #scheduling
Performance yield-driven task allocation and scheduling for MPSoCs under process variation (LH, QX), pp. 326–331.
DACDAC-2010-KuangB #latency #named
LATA: a latency and throughput-aware packet processing system (JK, LNB), pp. 36–41.
DACDAC-2010-NadakuditiM #cost analysis #on the
On the costs and benefits of stochasticity in stream processing (RRN, ILM), pp. 320–325.
DACDAC-2010-NiuCXX #process
Impact of process variations on emerging memristor (DN, YC, CX, YX), pp. 877–882.
DACDAC-2010-VeetilCSB #monte carlo #performance #resource management
Efficient smart monte carlo based SSTA on graphics processing units with improved resource utilization (VV, YHC, DS, DB), pp. 793–798.
DATEDATE-2010-AnastasiaA #energy #image #refinement #scheduling #trade-off
Scheduling and energy-distortion tradeoffs with operational refinement of image processing (DA, YA), pp. 1719–1724.
DATEDATE-2010-BashirM #process #reliability #towards
Towards a chip level reliability simulator for copper/low-k backend processes (MB, LSM), pp. 279–282.
DATEDATE-2010-BsoulMS #process
Reliability- and process variation-aware placement for FPGAs (AAMB, NM, LS), pp. 1809–1814.
DATEDATE-2010-HernandezSD #process
A methodology for the characterization of process variation in NoC links (CH, FS, JD), pp. 685–690.
DATEDATE-2010-Kheradmand-BoroujeniPL #independence #novel #process
AVGS-Mux style: A novel technology and device independent technique for reducing power and compensating process variations in FPGA fabrics (BKB, CP, YL), pp. 339–344.
DATEDATE-2010-KlemmF #c++ #embedded #metaprogramming #using
Bitstream processing for embedded systems using C++ metaprogramming (RK, GF), pp. 909–913.
DATEDATE-2010-LiuLKJ #adaptation #correlation #multi
FPGA-based adaptive computing for correlated multi-stream processing (ML, ZL, WK, AJ), pp. 973–976.
DATEDATE-2010-MeijerNS #modelling #network #process #throughput
Throughput modeling to evaluate process merging transformations in polyhedral process networks (SM, HN, TS), pp. 747–752.
DATEDATE-2010-MuZZLDZ
IP routing processing with graphic processors (SM, XZ, NZ, JL, YSD, SZ), pp. 93–98.
DATEDATE-2010-NarayananAZTP #process #verification
Formal verification of analog circuits in the presence of noise and process variation (RN, BA, MHZ, ST, LCP), pp. 1309–1312.
DATEDATE-2010-PengYTC #fault #process
High-quality pattern selection for screening small-delay defects considering process variations and crosstalk (KP, MY, MT, KC), pp. 1426–1431.
DATEDATE-2010-WangT #novel #physics #process
Novel Physical Unclonable Function with process and environmental variations (XW, MT), pp. 1065–1070.
DATEDATE-2010-YangGBSC #automation
Automated bottleneck-driven design-space exploration of media processing systems (YY, MG, TB, SS, HC), pp. 1041–1046.
DATEDATE-2010-ZhuoSB #process #reliability
Process variation and temperature-aware reliability management (CZ, DS, DB), pp. 580–585.
DocEngDocEng-2010-BalinskyBS #documentation #on the
On helmholtz’s principle for documents processing (AAB, HB, SJS), pp. 283–286.
DocEngDocEng-2010-QuintRSV #editing
From templates to schemas: bridging the gap between free editing and safe data processing (VQ, CR, SS, CV), pp. 61–64.
DRRDRR-2010-HuangDC #modelling #recognition #word
A word language model based contextual language processing on Chinese character recognition (CH, XD, YC), pp. 1–10.
DRRDRR-2010-SmithLD #preprocessor
Effect of pre-processing on binarization (EHBS, LLS, JD), pp. 1–10.
DRRDRR-2010-XiuB #recognition
Incorporating linguistic post-processing into whole-book recognition (PX, HSB), pp. 1–10.
SIGMODSIGMOD-2010-ArumugamDJPP #scalability
The DataPath system: a data-centric analytic processing engine for large data warehouses (SA, AD, CMJ, NP, LLP), pp. 519–530.
SIGMODSIGMOD-2010-BlanasPERST #algorithm #comparison #pipes and filters
A comparison of join algorithms for log processing in MaPreduce (SB, JMP, VE, JR, EJS, YT), pp. 975–986.
SIGMODSIGMOD-2010-Brown #analysis #array #bibliography #scalability
Overview of sciDB: large scale array storage, processing and analysis (PGB), pp. 963–968.
SIGMODSIGMOD-2010-ChenWHY #graph #in the cloud #scalability
Large graph processing in the cloud (RC, XW, BH, MY), pp. 1123–1126.
SIGMODSIGMOD-2010-JinBXCC #database #graph #named #query #towards #visual notation
GBLENDER: towards blending visual query formulation and query processing in graph databases (CJ, SSB, XX, JC, BC), pp. 111–122.
SIGMODSIGMOD-2010-KanagalD #correlation #database #probability
Lineage processing over correlated probabilistic databases (BK, AD), pp. 675–686.
SIGMODSIGMOD-2010-KossmannKL #architecture #evaluation #in the cloud #transaction
An evaluation of alternative architectures for transaction processing in the cloud (DK, TK, SL), pp. 579–590.
SIGMODSIGMOD-2010-MalewiczABDHLC #graph #named #scalability
Pregel: a system for large-scale graph processing (GM, MHA, AJCB, JCD, IH, NL, GC), pp. 135–146.
SIGMODSIGMOD-2010-MarczakHBSLA #distributed #named
SecureBlox: customizable secure distributed data processing (WRM, SSH, MB, MS, BTL, MA), pp. 723–734.
SIGMODSIGMOD-2010-SternBB #approach #network #query
Processing continuous join queries in sensor networks: a filtering approach (MS, KB, EB), pp. 267–278.
SIGMODSIGMOD-2010-TranPLDL #algorithm #data type #named #nondeterminism
PODS: a new model and processing algorithms for uncertain data streams (TTLT, LP, BL, YD, AL), pp. 159–170.
SIGMODSIGMOD-2010-XuJ #network #proximity
Processing proximity relations in road networks (ZX, HAJ), pp. 243–254.
VLDBVLDB-2010-BotanDDHMT #analysis #execution #named #semantics
SECRET: A Model for Analysis of the Execution Semantics of Stream Processing Systems (IB, RD, ND, LMH, RJM, NT), pp. 232–243.
VLDBVLDB-2010-BuHBE #clustering #named #performance #scalability
HaLoop: Efficient Iterative Data Processing on Large Clusters (YB, BH, MB, MDE), pp. 285–296.
VLDBVLDB-2010-CaliGP #ontology #query
Advanced Processing for Ontological Queries (AC, GG, AP), pp. 554–565.
VLDBVLDB-2010-DeutchMPY #evaluation #process #query
Optimal Top-K Query Evaluation for Weighted Business Processes (DD, TM, NP, TY), pp. 940–951.
VLDBVLDB-2010-Etzion #past present future
Event Processing — past, present and future (OE), pp. 1651–1652.
VLDBVLDB-2010-IoannouNNV #on the fly #query
On-the-Fly Entity-Aware Query Processing in the Presence of Linkage (EI, WN, CN, YV), pp. 429–438.
VLDBVLDB-2010-KazemitabarDAAS #query #sql #using
Geospatial Stream Query Processing using Microsoft SQL Server StreamInsight (SJK, UD, MHA, AA, CS), pp. 1537–1540.
VLDBVLDB-2010-McConnellPH #approach #named #performance #reliability #replication
iFlow: An Approach for Fast and Reliable Internet-Scale Stream Processing Utilizing Detouring and Replication (CM, FP, JHH), pp. 1557–1560.
VLDBVLDB-2010-SadoghiJLSS #algorithm #configuration management #hardware #performance
Efficient Event Processing through Reconfigurable Hardware for Algorithmic Trading (MS, HAJ, ML, WS, HS), pp. 1525–1528.
VLDBVLDB-2010-SharifzadehS #diagrams #named #nearest neighbour #performance #query
VoR-Tree: R-trees with Voronoi Diagrams for Efficient Processing of Spatial Nearest Neighbor Queries (MS, CS), pp. 1231–1242.
VLDBVLDB-2010-TzoumasDJ #clustering #correlation #query
Sharing-Aware Horizontal Partitioning for Exploiting Correlations During Query Processing (KT, AD, CSJ), pp. 542–553.
VLDBVLDB-2010-WangREW #health #realtime
Active Complex Event Processing: Applications in Real-Time Health Care (DW, EAR, RTE, HW), pp. 1545–1548.
VLDBVLDB-2010-WuBMPS #query
Processing Top-k Join Queries (MW, LBE, AM, CMP, DS), pp. 860–870.
VLDBVLDB-2010-WuJOW #performance
Efficient B-tree Based Indexing for Cloud Data Processing (SW, DJ, BCO, KLW), pp. 1207–1218.
VLDBVLDB-2010-ZouWSHAGW #distributed #query #relational
From a Stream of Relational Queries to Distributed Stream Processing (QZ, HW, RS, MH, HA, BG, KLW), pp. 1394–1405.
VLDBVLDB-2011-Rocha-JuniorVDN10 #performance #query
Efficient Processing of Top-k Spatial Preference Queries (JBRJ, AV, CD, KN), pp. 93–104.
ITiCSEITiCSE-2010-Larraza-MendiluzeG #game studies #learning #process #topic #using
Changing the learning process of the input/output topic using a game in a portable console (ELM, NGV), p. 316.
ESOPESOP-2010-SouleHGGAKW #calculus
A Universal Calculus for Stream Processing Languages (RS, MH, RG, BG, HA, VK, KLW), pp. 507–528.
FASEFASE-2010-CortellessaMRT #effectiveness #identification #performance #process
A Process to Effectively Identify “Guilty” Performance Antipatterns (VC, AM, RHR, CT), pp. 368–382.
FoSSaCSFoSSaCS-2010-PopescuG #algebra #formal method #incremental #induction #process
Incremental Pattern-Based Coinduction for Process Algebra and Its Isabelle Formalization (AP, ELG), pp. 109–127.
FoSSaCSFoSSaCS-2010-WiesZH #analysis #bound #process
Forward Analysis of Depth-Bounded Processes (TW, DZ, TAH), pp. 94–108.
ICPCICPC-2010-AversanoMT #component #process #traceability
Recovering Traceability Links between Business Process and Software System Components (LA, FM, MT), pp. 52–53.
ICSMEICSM-2010-HasanSBA #process
Analyzing natural-language artifacts of the software process (MH, ES, DB, MHA), pp. 1–5.
ICSMEICSM-2010-HindleGH #process #using
Software process recovery using Recovered Unified Process Views (AH, MWG, RCH), pp. 1–10.
MSRMSR-2010-BachmannB #correlation #dataset #debugging #process #quality #re-engineering
When process data quality affects the number of bugs: Correlations in software engineering datasets (AB, AB), pp. 62–71.
WCREWCRE-2010-Hindle #process
Software Process Recovery: Recovering Process from Artifacts (AH), pp. 305–308.
ICALPICALP-v2-2010-LanesePSS #calculus #communication #higher-order #on the #process
On the Expressiveness of Polyadic and Synchronous Communication in Higher-Order Process Calculi (IL, JAP, DS, AS), pp. 442–453.
LATALATA-2010-HemmerlingSK #difference #equation #network #process #programming language #specification
A Programming Language Tailored to the Specification and Solution of Differential Equations Describing Processes on Networks (RH, KS, WK), pp. 297–308.
SEFMSEFM-2010-MassinkLBH #algebra #analysis #approach #process #scalability
A Scalable Fluid Flow Process Algebraic Approach to Emergency Egress Analysis (MM, DL, AB, MDH), pp. 169–180.
IFLIFL-2010-AxelssonCSSEP #design #embedded #implementation
The Design and Implementation of Feldspar — An Embedded Language for Digital Signal Processing (EA, KC, MS, JS, DE, AP), pp. 121–136.
ICGTICGT-2010-Monreale #automaton #calculus #encoding #lts #process #semantics #visual notation
LTS Semantics for Process Calculi from Their Graphical Encodings (GVM), pp. 403–406.
SOFTVISSOFTVIS-2010-AlbrechtEHK #algorithm #automation #layout #process
An automatic layout algorithm for BPEL processes (BA, PE, MH, MK), pp. 173–182.
CAiSECAiSE-2010-AalstPS #mining #process
Beyond Process Mining: From the Past to Present and Future (WMPvdA, MP, MS), pp. 38–52.
CAiSECAiSE-2010-LyRD #design #graph #information management #verification
Design and Verification of Instantiable Compliance Rule Graphs in Process-Aware Information Systems (LTL, SRM, PD), pp. 9–23.
CAiSECAiSE-2010-Rittgen #modelling #process
Success Factors of e-Collaboration in Business Process Modeling (PR), pp. 24–37.
CAiSECAiSE-2010-WeidlichDM #framework #identification #modelling #process
The ICoP Framework: Identification of Correspondences between Process Models (MW, RMD, JM), pp. 483–498.
CAiSECAiSE-2010-WeidlichPDM #behaviour #metric #process
Process Compliance Measurement Based on Behavioural Profiles (MW, AP, ND, JM), pp. 499–514.
EDOCEDOC-2010-AtkinsonDG #process #specification
Typed Business Process Specification (CA, DD, VG), pp. 69–78.
EDOCEDOC-2010-EshuisN #framework #outsourcing #process #using
A Framework for Service Outsourcing Using Process Views (RE, AN), pp. 99–108.
EDOCEDOC-2010-KalsingNIT #approach #incremental #legacy #mining #process
An Incremental Process Mining Approach to Extract Knowledge from Legacy Systems (AK, GSdN, CI, LHT), pp. 79–88.
EDOCEDOC-2010-MilanovicG #modelling #process
Modeling Service Choreographies with Rule-Enhanced Business Processes (MM, DG), pp. 194–203.
EDOCEDOC-2010-NezhadBGSS #approach #process
IT Support Conversation Manager: A Conversation-Centered Approach and Tool for Managing Best Practice IT Processes (HRMN, CB, SG, SS, SS), pp. 247–256.
EDOCEDOC-2010-SchummLS #process
Process Viewing Patterns (DS, FL, AS), pp. 89–98.
EDOCEDOC-2010-TerresNS #automation #process
Selection of Business Process for Autonomic Automation (LDT, JARN, JMdS), pp. 237–246.
ICEISICEIS-AIDSS-2010-CuzzocreaFP #abstraction #analysis #collaboration #effectiveness #flexibility #mining #process
Effective Analysis of Flexible Collaboration Processes by Way of Abstraction and Mining Techniques (AC, FF, LP), pp. 157–166.
ICEISICEIS-AIDSS-2010-FariaGSMM #automation #natural language #ontology #using
Using Natural Language Processing for Automatic Extraction of Ontology Instances (CGdF, RG, IS, MM, DM), pp. 278–283.
ICEISICEIS-AIDSS-2010-GilS #implementation #performance #process #using
Using Key Performance Indicators to Facilitate the Strategy Implementation and Business Process Improvement in SME’s (MMG, DNS), pp. 193–197.
ICEISICEIS-AIDSS-2010-KrammerM #collaboration #named #process
DecisionWave — Embedding Collaborative Decision Making into Business Processes (CK, AM), pp. 232–237.
ICEISICEIS-AIDSS-2010-MianiYSF #algorithm #optimisation #process #semantics
NARFO* Algorithm — Optimizing the Process of Obtaining Non-redundant and Generalized Semantic Association Rules (RGM, CAY, MTPS, VRTF), pp. 320–325.
ICEISICEIS-AIDSS-2010-VialeBGP #approach #modelling #process #scalability #sequence #using
Modeling Large Scale Manufacturing Process from Timed Data — Using the TOM4L Approach and Sequence Alignment Information for Modeling STMicroelectronics’ Production Processes (PV, NB, MLG, JP), pp. 129–138.
ICEISICEIS-DISI-2010-BenzartiTMHY #process #web #web service
Engineering Process for Capacity-driven Web Services (IB, ST, ZM, NBHA, MMY), pp. 84–95.
ICEISICEIS-DISI-2010-BohmHL #clustering #multi #optimisation #queue
Multi-process Optimization Via Horizontal Message Queue Partitioning (MB, DH, WL), pp. 5–14.
ICEISICEIS-DISI-2010-HernandesSF #concept #named #ontology #process
ONTOP — A Process to Support Ontology Conceptualization (EMH, DS, SF), pp. 58–65.
ICEISICEIS-DISI-2010-KalsingTI #algorithm #incremental #mining #process
An Incremental Process Mining Algorithm (AK, LHT, CI), pp. 263–268.
ICEISICEIS-DISI-2010-MoraesZF #algorithm #concept #distributed
A Distributed Algorithm for Formal Concepts Processing based on Search Subspaces (NRMdM, LEZ, HCF), pp. 105–111.
ICEISICEIS-DISI-2010-ObermeierB #ad hoc #constraints #mobile #network #transaction
Constraint Checking for Non-blocking Transaction Processing in Mobile Ad-hoc Networks (SO, SB), pp. 166–175.
ICEISICEIS-DISI-2010-PopovicCJ #process #quality
Absorption of Information Provided by Business Intelligence Systems — The Effect of Information Quality on the Use of Information in Business Processes (AP, PSC, JJ), pp. 176–181.
ICEISICEIS-DISI-2010-PreisslerHL #data type #streaming
Process-based Data Streaming in Service-oriented Environments — Application and Technique (SP, DH, WL), pp. 40–49.
ICEISICEIS-DISI-2010-SantosC #information management #process #reasoning #reuse
Organizational Knowledge Management through Software Process Reuse and Case-based Reasoning (VAS, MIC), pp. 223–228.
ICEISICEIS-DISI-2010-SellamiBAF #composition #process
Improving Real World Schema Matching with Decomposition Process (SS, ANB, YA, FF), pp. 151–158.
ICEISICEIS-ISAS-2010-AleixoFSK #approach #modelling #process
A Model-driven Approach to Managing and Customizing Software Process Variabilities (FAA, MAF, WCdS, UK), pp. 92–100.
ICEISICEIS-ISAS-2010-BeestSW #concurrent #process
Assessing the Interference in Concurrent Business Processes (NRTPvB, NBS, JCW), pp. 261–270.
ICEISICEIS-ISAS-2010-CappelliSNBD #estimation #process
An Estimation Procedure to Determine the Effort Required to Model Business Processes (CC, FMS, VTN, MdOB, JRD), pp. 178–184.
ICEISICEIS-ISAS-2010-CuzzocreaLI #information management #modelling
A Process-driven Methodology for Modeling Service-oriented Complex Information Systems (AC, ADL, SI), pp. 390–398.
ICEISICEIS-ISAS-2010-EspindolaA #metamodelling #process
A SPEM based Software Process Improvement Meta-model (RSdE, JLNA), pp. 301–306.
ICEISICEIS-ISAS-2010-FernandesPMP #execution #optimisation #process
Integrating and Optimizing Business Process Execution in P2P Environments (MF, MP, JAM, JSP), pp. 171–177.
ICEISICEIS-ISAS-2010-GoncalvesSB #collaboration #elicitation #process
Collaborative Business Process Elicitation through Group Storytelling (JCdARG, FMS, FAB), pp. 295–300.
ICEISICEIS-ISAS-2010-GradlMWK #enterprise #modelling #network #process #using
Modeling ERP Business Processes using Layered Queueing Networks (SG, MM, HW, HK), pp. 255–260.
ICEISICEIS-ISAS-2010-IizukaIT #analysis #approach #effectiveness #process #re-engineering
Analysis of Effective Approach for Business Process re-Engineering — From the Perspective of Organizational Factors (KI, YI, KT), pp. 384–389.
ICEISICEIS-ISAS-2010-KikuchiMAM #mining #process
Process Mining for Job Nets in Integrated Complex Computer Systems (SK, YM, MA, SM), pp. 5–12.
ICEISICEIS-ISAS-2010-LinS #constraints #data transformation #dependence #process
Managing Data Dependency Constraints through Business Processes (JYCL, SWS), pp. 52–59.
ICEISICEIS-ISAS-2010-MacielSM #approach #modelling #process
Applying and Evaluating an MDA Process Modeling Approach (RSPM, BCdS, APFM), pp. 185–190.
ICEISICEIS-ISAS-2010-MoralesTP #composition #process #verification
Compositional Verification of Business Processes Modelled with BPMN (LEMM, MICT, MAP), pp. 113–122.
ICEISICEIS-ISAS-2010-NunesWS #process
Context-based Process Line (VTN, CW, FMS), pp. 277–282.
ICEISICEIS-ISAS-2010-SeemuellerVHB #approach #development #process
Situational Method Engineering Applied for the Enactment of Development Processes — An Agent based Approach (HS, HV, BH, BB), pp. 399–405.
ICEISICEIS-ISAS-2010-SetiawanS #analysis #process
Socialization of Work Practice through Business Process Analysis (MAS, SWS), pp. 165–170.
ICEISICEIS-ISAS-2010-SharonSBBV #development #framework #process
A Decision Framework for Selecting a Suitable Software Development Process (IS, MdSS, JB, JvdB, JLMV), pp. 34–43.
ICEISICEIS-J-2010-AleixoFSK10a #approach #automation #deployment #modelling #process #variability
Automating the Variability Management, Customization and Deployment of Software Processes: A Model-Driven Approach (FAA, MAF, WCdS, UK), pp. 372–387.
ICEISICEIS-J-2010-KikuchiMAM10a #enterprise #mining #process
Process Mining for Job Nets in Integrated Enterprise Systems (SK, YM, MA, SM), pp. 299–310.
ICEISICEIS-J-2010-LinPMDL #framework #information management
A Framework to Assist Environmental Information Processing (YL, CP, IM, JCD, TL), pp. 76–89.
ICEISICEIS-J-2010-LinS10a #approach #constraints #dependence #process
A Business Process Driven Approach to Manage Data Dependency Constraints (JYCL, SWS), pp. 326–339.
ICEISICEIS-J-2010-MoralesTP10a #composition #formal method #process #verification
A Formalization Proposal of Timed BPMN for Compositional Verification of Business Processes (LEMM, MICT, MAP), pp. 388–403.
ICEISICEIS-J-2010-PreisslerHL10a #concept #execution #process #streaming #xml
An XML-Based Streaming Concept for Business Process Execution (SP, DH, WL), pp. 60–75.
CIKMCIKM-2010-ChenLZY #energy #network #query
Energy-efficient top-k query processing in wireless sensor networks (BC, WL, RZ, JXY), pp. 329–338.
CIKMCIKM-2010-CumminsLO #induction #information retrieval #perspective #process
Examining the information retrieval process from an inductive perspective (RC, ML, CO), pp. 89–98.
CIKMCIKM-2010-HaghaniMA #personalisation #web
The gist of everything new: personalized top-k processing over web 2.0 streams (PH, SM, KA), pp. 489–498.
CIKMCIKM-2010-JinHCLDL #image #online #visual notation
Visual cube and on-line analytical processing of images (XJ, JH, LC, JL, BD, CXL), pp. 849–858.
CIKMCIKM-2010-KhalefaML #nondeterminism #query
Skyline query processing for uncertain data (MEK, MFM, JJL), pp. 1293–1296.
CIKMCIKM-2010-KimKLB #interpreter #performance #semantics
Efficient wikipedia-based semantic interpreter by exploiting top-k processing (JWK, AK, DL, SB), pp. 1813–1816.
CIKMCIKM-2010-KobdaniSBKH #natural language #re-engineering #relational
Relational feature engineering of natural language processing (HK, HS, AB, WK, GH), pp. 1705–1708.
CIKMCIKM-2010-MwebazeMBV #framework #towards
Towards a provenance framework for sub-image processing for astronomical data (JM, JM, DB, EV), pp. 1277–1280.
CIKMCIKM-2010-TomazelaHCC #integration #named #process
Print: a provenance model to support integration processes (BT, CSH, RRC, CDdAC), pp. 1349–1352.
ECIRECIR-2010-Lapata #image #information retrieval #multi #natural language
Image and Natural Language Processing for Multimedia Information Retrieval (ML), p. 12.
ICMLICML-2010-BardenetK #algorithm #optimisation
Surrogating the surrogate: accelerating Gaussian-process-based global optimization with a mixture cross-entropy algorithm (RB, BK), pp. 55–62.
ICMLICML-2010-BartlettPW #constant #memory management #process
Forgetting Counts: Constant Memory Inference for a Dependent Hierarchical Pitman-Yor Process (NB, DP, FW), pp. 63–70.
ICMLICML-2010-BleiF #distance #process
Distance dependent Chinese restaurant processes (DMB, PIF), pp. 87–94.
ICMLICML-2010-CaniniSG #categorisation #learning #modelling #process
Modeling Transfer Learning in Human Categorization with the Hierarchical Dirichlet Process (KRC, MMS, TLG), pp. 151–158.
ICMLICML-2010-KimT10a #learning #multi #process
Gaussian Processes Multiple Instance Learning (MK, FDlT), pp. 535–542.
ICMLICML-2010-PaisleyZWGC #process
A Stick-Breaking Construction of the Beta Process (JWP, AKZ, CWW, GSG, LC), pp. 847–854.
ICMLICML-2010-PetrikTPZ #approximate #feature model #linear #markov #process #source code #using
Feature Selection Using Regularization in Approximate Linear Programs for Markov Decision Processes (MP, GT, RP, SZ), pp. 871–878.
ICMLICML-2010-Ryabko #clustering #process
Clustering processes (DR), pp. 919–926.
ICMLICML-2010-SaatciTR #modelling #process
Gaussian Process Change Point Models (YS, RDT, CER), pp. 927–934.
ICMLICML-2010-SrinivasKKS #design #optimisation #process
Gaussian Process Optimization in the Bandit Setting: No Regret and Experimental Design (NS, AK, SK, MWS), pp. 1015–1022.
ICMLICML-2010-VogtPFR #clustering #distance #invariant #process
The Translation-invariant Wishart-Dirichlet Process for Clustering Distance Data (JEV, SP, TJF, VR), pp. 1111–1118.
ICMLICML-2010-WilliamsonWHB #modelling #process #topic
The IBP Compound Dirichlet Process and its Application to Focused Topic Modeling (SW, CW, KAH, DMB), pp. 1151–1158.
ICMLICML-2010-YanQ #process
Sparse Gaussian Process Regression via L1 Penalization (FY, Y(Q), pp. 1183–1190.
ICPRICPR-2010-AsheriRPR #adaptation #fault #framework #kernel #process
A Gaussian Process Regression Framework for Spatial Error Concealment with Adaptive Kernels (HA, HRR, NP, MHR), pp. 4541–4544.
ICPRICPR-2010-BaradaraniMW #design #image #on the
On the Design of a Class of Odd-Length Biorthogonal Wavelet Filter Banks for Signal and Image Processing (AB, PM, QMJW), pp. 2282–2285.
ICPRICPR-2010-BenedekDZ #detection #image #process
Building Detection in a Single Remotely Sensed Image with a Point Process of Rectangles (CB, XD, JZ), pp. 1417–1420.
ICPRICPR-2010-ChengQHJT #estimation #process #recognition
Group Activity Recognition by Gaussian Processes Estimation (ZC, LQ, QH, SJ, QT), pp. 3228–3231.
ICPRICPR-2010-ChevionNR #approach #image
Image Processing Based Approach for Retrieving Data from a Seismic Section in Bitmap Format (DC, YN, DR), pp. 4444–4447.
ICPRICPR-2010-JunG #classification #process
Nearest-Manifold Classification with Gaussian Processes (GJ, JG), pp. 914–917.
ICPRICPR-2010-LlobetCPA #finite #transducer #using
OCR Post-processing Using Weighted Finite-State Transducers (RL, JRCN, JCPC, JA), pp. 2021–2024.
ICPRICPR-2010-Perez-CarrascoSASL #network #realtime
Spike-Based Convolutional Network for Real-Time Processing (JAPC, CS, BA, TSG, BLB), pp. 3085–3088.
ICPRICPR-2010-PerretLCS #component #image #multi
Connected Component Trees for Multivariate Image Processing and Applications in Astronomy (BP, SL, CC, ÉS), pp. 4089–4092.
ICPRICPR-2010-PetersenK #analysis #estimation #modelling #process #statistics
Statistical Analysis of Kalman Filters by Conversion to Gauss-Helmert Models with Applications to Process Noise Estimation (AP, RK), pp. 2386–2389.
ICPRICPR-2010-ShimosakaINSM #detection #process
Detecting Human Activity Profiles with Dirichlet Enhanced Inhomogeneous Poisson Processes (MS, TI, HN, TS, TM), pp. 4384–4387.
ICPRICPR-2010-StadelmannWSEF #algorithm #design #development #speech
Rethinking Algorithm Design and Development in Speech Processing (TS, YW, MS, RE, BF), pp. 4476–4479.
ICPRICPR-2010-SuS #predict #process
Latent Fingerprint Core Point Prediction Based on Gaussian Processes (CS, SNS), pp. 1634–1637.
ICPRICPR-2010-UlkerGC #modelling #process
Annealed SMC Samplers for Dirichlet Process Mixture Models (, BG, ATC), pp. 2808–2811.
ICPRICPR-2010-WangJMS #process #using
Decoding Finger Flexion from Electrocorticographic Signals Using a Sparse Gaussian Process (ZW, QJ, KJM, GS), pp. 3756–3759.
ICPRICPR-2010-WangM #learning #order #process #using
Gaussian Process Learning from Order Relationships Using Expectation Propagation (RW, SJM), pp. 605–608.
ICPRICPR-2010-XuHL10a #how #image #recognition #robust
Raw vs. Processed: How to Use the Raw and Processed Images for Robust Face Recognition under Varying Illumination (LX, LH, CL), pp. 2692–2695.
KDDKDD-2010-KumarGM #data mining #fault #health #mining #predict
Data mining to predict and prevent errors in health insurance claims processing (MK, RG, ZSM), pp. 65–74.
KDDKDD-2010-SatoN #modelling #process #topic #using
Topic models with power-law using Pitman-Yor process (IS, HN), pp. 673–682.
KDDKDD-2010-YuHW #clustering #documentation #feature model #process
Document clustering via dirichlet process mixture model with feature selection (GY, RzH, ZW), pp. 763–772.
KDDKDD-2010-ZhangSZL #corpus #correlation #multi #process
Evolutionary hierarchical dirichlet processes for multiple correlated time-varying corpora (JZ, YS, CZ, SL), pp. 1079–1088.
KDIRKDIR-2010-JedrzejczakW #generative #query #using
Integrated Candidate Generation in Processing Batches of Frequent Itemset Queries using Apriori (PJ, MW), pp. 487–490.
KDIRKDIR-2010-JunGRO #image #predict #process
Predicting Ground-based Aerosol Optical Depth with Satellite Images Via Gaussian Processes (GJ, JG, VR, ZO), pp. 370–375.
KDIRKDIR-2010-MautnerM #categorisation #comparison #documentation #network
Comparison of Neural Networks used for Processing and Categorization of Czech Written Documents (PM, RM), pp. 510–513.
KDIRKDIR-2010-SharmaV #enterprise #query #wiki
Query Processing for Enterprise Search with Wikipedia Link Structure (NS, VV), pp. 243–248.
KDIRKDIR-2010-Studer #lightweight #modelling #process #semantics #using #web
Process-oriented Semantic Web Search — Using Lightweight Semantic Models for Supporting the Search Process (RS), p. 7.
KEODKEOD-2010-BarrosoAG #encryption #hardware #in the cloud #process
Key Management Process on the Hardware Cryptographic Module in the Cloud Computing (JMDB, LJA, PGG), pp. 493–496.
KEODKEOD-2010-HughesWC #development #modelling #ontology #process
Ontological Modelling to Support the Planning of is Development Processes — A Position Paper (RTH, GW, KC), pp. 319–324.
KEODKEOD-2010-NeumaierS #framework #representation
A Framework for Representing and Processing Arbitrary Mathematics (AN, PS), pp. 476–479.
KMISKMIS-2010-BornerL #enterprise #using
Using Role-plays to Acquire Process-oriented Knowledge in Enterprises (RB, ML), pp. 149–156.
KMISKMIS-2010-ButanOSCP #information management #process
A New Knowledge Management Tool to Facilitate Process Innovation in Manufacturing Companies (DB, EO, MS, SC, MP), pp. 342–347.
KMISKMIS-2010-ChakrabortyZ #approach #process #towards
A Systematic Approach Towards Collection, Processing & Distribution of Information about Competitor Activities & Customer Needs in Terms of Products & Services (SC, MZ), pp. 348–353.
KMISKMIS-2010-DienstAHF #knowledge base #visual notation
Applying Fusion Techniques to Graphical Methods for Knowledge based Processing of Product use Information (SD, FA, AH, MF), pp. 136–142.
KMISKMIS-2010-DjordjevicGF #enterprise #semantics #wiki
Process-centric Enterprise Workspace based on Semantic Wiki (DD, RG, DF), pp. 224–233.
KMISKMIS-2010-EtoMKM #evaluation #process
Externalization Method and Its Evaluation of Know-how Information for Care Planning Processes by Awareness of Novices (KE, MM, YK, TM), pp. 201–206.
KMISKMIS-2010-KhalifaS #approach #information management #process
An Integrated Approach in Developing Knowledge Management Process Capabilities (MK, KNS), pp. 121–128.
KMISKMIS-2010-RiosRR #modelling #process #project management
Modeling Knowledge Flows in Software Project Management Processes (BLFR, SLGR, OMRE), pp. 213–217.
KMISKMIS-2010-ScheibmayerIB #analysis #approach #framework #process
A Framework to Measure the Impact of Knowledge Process Supporting Technologies — An Integrated Approach for a Technology-oriented Business Benefit Analysis (MS, AI, MB), pp. 253–259.
KMISKMIS-2010-SonntagR #multimodal #process #semantics #towards
Towards a Process of Building Semantic Multimodal Dialogue Demonstrators (DS, NR), pp. 322–331.
KMISKMIS-2010-XuB #design #information management #integration #process
Integration of Knowledge Management in Product Design Process (YX, AB), pp. 338–341.
SEKESEKE-2010-AlvesCL #agile #estimation #integration #process
Scrum and Plan-driven Process Integration and its Impact on Effort Estimation (NA, WC, ELJ), pp. 710–715.
SEKESEKE-2010-ChangK #architecture #modelling #process
A Model-based Business Process Diagnosis Method in Service Oriented Architecture (SHC, SDK), pp. 458–461.
SEKESEKE-2010-MadieshW #process #top-down
A Top-Down Method for Secure SOA-based B2B Processes (MM, GW), pp. 698–703.
SEKESEKE-2010-MauczkaBG #case study #classification #metric #process
Analyzing the Relationship of Process Metrics And Classified Changes — A Pilot Study (AM, MB, TG), pp. 269–272.
SEKESEKE-2010-PeixotoBRP #case study #implementation #process
A Case Study of Software Process Improvement Implementation (DCCP, VAB, RFR, CIPSP), pp. 716–721.
SEKESEKE-2010-Rodriguez-SoriaCMM #bibliography #estimation #modelling #parametricity #process
A Review of Parametric Effort Estimation Models for the Software Project Planning Process (PRS, JJCG, JAGdM, BMH), pp. 135–140.
SEKESEKE-2010-SilvaGFT #development #distributed #process #web #web service
Negotiating Software Acquisition Supported by Web Services in a Distributed Software Development Process (GCS, IMdSG, MF, MBFdT), pp. 221–224.
SEKESEKE-2010-Spector #logic
Prodigious Data, Logic, Processing, and Usage (AZS), p. 1.
SEKESEKE-2010-TrujilloPP #process
Supporting Software Process Improvement in Very Small Entities through a Template-based Guide (MMT, GEI, FJP, MP), pp. 704–709.
SEKESEKE-2010-WangHGJ #process #reuse #weaving
Software Process Reuse by Pattern Weaving (YsW, XyH, JgG, JrJ), pp. 722–725.
SEKESEKE-2010-WangHW #analysis #petri net #process
A Hierarchical Timed Coloured Petri Nets for BPMN-based Process Analysis (CHW, PSH, FJW), pp. 417–420.
SEKESEKE-2010-WangPACC #analysis #automation #process
An Automatic Failure Mode and Effect Analysis Technique for Processes Defined in the Little-JIL Process Definition Language (DW, JP, GSA, LAC, BC), pp. 765–770.
SEKESEKE-2010-ZhangUV #architecture #component #development #evolution #process
Architecture-centric development and evolution processes for component-based software (H(Z, CU, SV), pp. 680–685.
SIGIRSIGIR-2010-AggarwalZ #modelling #paradigm #representation #visual notation
Graphical models for text: a new paradigm for text representation and processing (CCA, PZ), pp. 899–900.
SIGIRSIGIR-2010-HustonC #query
Evaluating verbose query processing techniques (SH, WBC), pp. 291–298.
ECMFAECMFA-2010-CharfiMM #aspect-oriented #modelling #process
Aspect-Oriented Business Process Modeling with AO4BPMN (AC, HM, MM), pp. 48–61.
ECMFAECMFA-2010-KusterGE #modelling #process
Dynamic Computation of Change Operations in Version Management of Business Process Models (JMK, CG, GE), pp. 201–216.
ICMTICMT-2010-Perez-CastilloGP #implementation #process #qvt
Implementing Business Process Recovery Patterns through QVT Transformations (RPC, IGRdG, MP), pp. 168–183.
MODELSMoDELS-v2-2010-GerthKLE #detection #precise #process #using
Precise Detection of Conflicting Change Operations Using Process Model Terms (CG, JMK, ML, GE), pp. 93–107.
MODELSMoDELS-v2-2010-GorpE #execution #java #modelling #process
Transforming Process Models: Executable Rewrite Rules versus a Formalized Java Program (PVG, RE), pp. 258–272.
MODELSMoDELS-v2-2010-JohannesA #composition #development #modelling #process
Concern-Based (de)composition of Model-Driven Software Development Processes (JJ, UA), pp. 47–62.
MODELSMoDELS-v2-2010-RicheVB #parallel
Transformation-Based Parallelization of Request-Processing Applications (TLR, HMV, DSB), pp. 2–16.
MODELSMoDELS-v2-2010-SilvaBBG #detection #modelling #process
Early Deviation Detection in Modeling Activities of MDE Processes (MAAdS, RB, XB, MPG), pp. 303–317.
MODELSMoDELS-v2-2010-SilvaMBRB #empirical #process
Artifact or Process Guidance, an Empirical Study (MAAdS, AM, RB, JR, XB), pp. 318–330.
LOPSTRLOPSTR-2010-LlorensOST #csp #generative #graph #process
Graph Generation to Statically Represent CSP Processes (ML, JO, JS, ST), pp. 52–66.
PPDPPPDP-2010-DemeyerALV #declarative #flexibility #process #workflow
Declarative workflows to efficiently manage flexible and advanced business processes (RD, MVA, LL, WV), pp. 209–218.
QAPLQAPL-2010-GeorgievskaA #probability #process #testing
Testing Reactive Probabilistic Processes (SG, SA), pp. 99–113.
REFSQREFSQ-2010-OmoronyiaSSBMS #elicitation #ontology #process #requirements
A Domain Ontology Building Process for Guiding Requirements Elicitation (IO, GS, TS, SB, TM, WDS), pp. 188–202.
SACSAC-2010-AraujoSCA #process #validation
A method for validating the compliance of business processes to business rules (BdMA, EAS, ALC, AJA), pp. 145–149.
SACSAC-2010-AversanoGT #case study #process
Measuring the alignment between business processes and software systems: a case study (LA, CG, MT), pp. 2330–2336.
SACSAC-2010-BernalFMD #approach #identification #process #rule-based
Dynamic context-aware business process: a rule-based approach supported by pattern identification (JFMB, PF, MM, JD), pp. 470–474.
SACSAC-2010-CaetanoST #composition #principle #process
A method for business process decomposition based on the separation of concerns principle (AC, ARS, JMT), pp. 79–85.
SACSAC-2010-CorreiaVL #process
Asynchronous Byzantine consensus with 2f+1 processes (MC, GSV, LCL), pp. 475–480.
SACSAC-2010-DomanPD #fuzzy #network #query
Leveraging fuzzy query processing to support applications in wireless sensor networks (MD, JP, TD), pp. 764–771.
SACSAC-2010-FacchinettiF #architecture #clustering #manycore #realtime
Resource partitioning for real-time processing on a multicore architecture (TF, MF), pp. 359–360.
SACSAC-2010-IbanezVEB #process #semantics
Semantically enabled business process discovery (MJI, GV, JE, SB), pp. 1396–1403.
SACSAC-2010-JungCCL #on the #query
On processing location based top-k queries in the wireless broadcasting system (HJ, BKC, YDC, LL), pp. 585–591.
SACSAC-2010-KangZKB #process #similarity
A knowledge-rich similarity measure for improving IT incident resolution process (YBK, ABZ, SK, CB), pp. 1781–1788.
SACSAC-2010-LuoTLTDW #design #enterprise #evaluation #process #visualisation
Visualizing time-series data in processlines: design and evaluation of a process enterprise application (XL, FT, WL, DT, GD, HW), pp. 1165–1172.
SACSAC-2010-LuSPFZ #constraints #database #implementation #relational
Constraint processing in relational database systems: from theory to implementation (JJL, SS, OP, GHLF, HZ), pp. 2066–2070.
SACSAC-2010-Perez-CastilloGP #on the #process
On the use of patterns to recover business processes (RPC, IGRdG, MP), pp. 165–166.
SACSAC-2010-Rittgen #modelling #process #quality
Quality and perceived usefulness of process models (PR), pp. 65–72.
SACSAC-2010-RoyM #verification #visual notation
Visual processing-inspired fern-audio features for noise-robust speaker verification (AR, SM), pp. 1491–1495.
SACSAC-2010-SulaymanM #process #web
Quantitative assessments of key success factors in software process improvement for small and medium web companies (MS, EM), pp. 2319–2323.
SACSAC-2010-TraulsenH #data flow #parallel
Reactive parallel processing for synchronous dataflow (CT, RvH), pp. 483–490.
SACSAC-2010-WetzsteinKKLZ #monitoring #process
Cross-organizational process monitoring based on service choreographies (BW, DK, OK, FL, DZ), pp. 2485–2490.
SACSAC-2010-ZaplataL #as a service #mobile #process #towards
Towards mobile process as a service (SZ, WL), pp. 372–379.
ICSEICSE-2010-BoehmL #incremental #process
New processes for new horizons: the incremental commitment model (BWB, JAL), pp. 501–502.
ICSEICSE-2010-Magdaleno #collaboration #development #process
Balancing collaboration and discipline in software development processes (AMM), pp. 331–332.
SPLCSPLC-2010-BagheriAGS #process
Stratified Analytic Hierarchy Process: Prioritization and Selection of Software Features (EB, MA, DG, SS), pp. 300–315.
HPDCHPDC-2010-JardakROM #network #parallel #scalability
Parallel processing of data from very large-scale wireless sensor networks (CJ, JR, FO, PM), pp. 787–794.
HPDCHPDC-2010-McConnellPH #performance #reliability #replication
Detouring and replication for fast and reliable internet-scale stream processing (CM, FP, JHH), pp. 737–745.
HPDCHPDC-2010-TurcuFN #performance
Reshaping text data for efficient processing on Amazon EC2 (GT, ITF, SN), pp. 435–444.
LCTESLCTES-2010-ViskicLG #automation #design #framework #generative #network #process
Design exploration and automatic generation of MPSoC platform TLMs from Kahn Process Network applications (IV, LY, DG), pp. 77–84.
OSDIOSDI-2010-BerganHCG #process
Deterministic Process Groups in dOS (TB, NH, LC, SDG), pp. 177–191.
OSDIOSDI-2010-PengD #distributed #incremental #scalability #transaction #using
Large-scale Incremental Processing Using Distributed Transactions and Notifications (DP, FD), pp. 251–264.
ICLPICLP-2010-Lopez10 #modelling #process
Models for Trustworthy Service and Process Oriented Systems (HAL), pp. 270–276.
ICSTICST-2010-Kasurinen #process #testing
Elaborating Software Test Processes and Strategies (JK), pp. 355–358.
ICSTICST-2010-Laurent #concept #formal method #process #testing #using #validation #verification
Using Formal Methods and Testability Concepts in the Avionics Systems Validation and Verification (V&V) Process (OL), pp. 1–10.
ISSTAISSTA-2010-KettunenKTS #case study #process #testing
A study on agility and testing processes in software organizations (VK, JK, OT, KS), pp. 231–240.
LICSLICS-2010-BartolettiZ #calculus #process
A Calculus of Contracting Processes (MB, RZ), pp. 332–341.
TAPTAP-2010-BousquetL #analysis #evaluation #mutation testing #process #proving
Proof Process Evaluation with Mutation Analysis (LdB, ML), pp. 55–60.
VMCAIVMCAI-2010-Esparza #analysis #probability #process
Analysis of Systems with Stochastic Process Creation (JE), p. 1.
CBSECBSE-2009-KouroshfarSR #component #development #process
Process Patterns for Component-Based Software Development (EK, HYS, RR), pp. 54–68.
QoSAQoSA-2009-ChanP #architecture #behaviour #composition #predict #process
Compositional Prediction of Timed Behaviour for Process Control Architecture (KC, IP), pp. 86–100.
WICSA-ECSAWICSA-ECSA-2009-BontaB #algebra #architecture #code generation #java #named #process
PADL2Java: A Java code generator for process algebraic architectural descriptions (EB, MB), pp. 161–170.
WICSA-ECSAWICSA-ECSA-2009-XuZSL #architecture #distributed
An architecting method for distributed process-intensive systems (XX, LZ, MS, YL), pp. 277–280.
CASECASE-2009-HariharanB #markov #process #using
Misplaced item search in a warehouse using an RFID-based Partially Observable Markov Decision Process (POMDP) model (SH, STSB), pp. 443–448.
CASECASE-2009-LiQW #parallel #scheduling
ACO-based scheduling of parallel batch processing machines to minimize the total weighted tardiness (LL, FQ, QW), pp. 280–285.
CASECASE-2009-Morrison #clustering #modelling #process #tool support
Regular flow line models for semiconductor cluster tools: A case of lot dependent process times (JRM), pp. 561–566.
CASECASE-2009-VoronovA #model checking #process #using #verification
Verification of process operations using model checking (AV, ), pp. 415–420.
DACDAC-2009-ChangMR #architecture #hybrid #process #video
A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors (IJC, DM, KR), pp. 670–675.
DACDAC-2009-DrmanacLW #predict #process #variability
Predicting variability in nanoscale lithography processes (DGD, FL, LCW), pp. 545–550.
DACDAC-2009-GongYH #incremental #named #parallel #probability #process
PiCAP: a parallel and incremental capacitance extraction considering stochastic process variation (FG, HY, LH), pp. 764–769.
DACDAC-2009-HuangOSC #programmable
Programmable neural processing on a smartdust (SH, JO, YS, ACC), pp. 619–620.
DACDAC-2009-LevitanC #parallel
Massively parallel processing: it’s déjà vu all over again (SPL, DMC), pp. 534–538.
DACDAC-2009-LinB #analysis #process
Analysis and mitigation of process variation impacts on Power-Attack Tolerance (LL, WPB), pp. 238–243.
DACDAC-2009-LuSZZYZ #analysis #process #reliability #statistics
Statistical reliability analysis under process variation and aging effects (YL, LS, HZ, HZ, FY, XZ), pp. 514–519.
DACDAC-2009-OnaissiHN #optimisation #process
Clock skew optimization via wiresizing for timing sign-off covering all process corners (SO, KRH, FNN), pp. 196–201.
DACDAC-2009-PanKOMC #process
Selective wordline voltage boosting for caches to manage yield under process variations (YP, JK, SO, GM, SWC), pp. 57–62.
DACDAC-2009-Whipp #architecture #process #verification
Exploiting “architecture for verification” to streamline the verification process (DW), pp. 212–215.
DACDAC-2009-XiongSZV #multi #process #statistics
Statistical multilayer process space coverage for at-speed test (JX, YS, VZ, CV), pp. 340–345.
DACDAC-2009-YeLCC #analysis #layout #process #variability
Variability analysis under layout pattern-dependent rapid-thermal annealing process (YY, FL, MC, YC), pp. 551–556.
DACDAC-2009-ZhangBDSJ #multi #process
Process variation characterization of chip-level multiprocessors (LZ, LSB, RPD, LS, RJ), pp. 694–697.
DATEDATE-2009-AnsaloniBP #architecture #embedded
Heterogeneous coarse-grained processing elements: A template architecture for embedded processing acceleration (GA, PB, LP), pp. 542–547.
DATEDATE-2009-BachmannGHBS #power management
A low-power ASIP for IEEE 802.15.4a ultra-wideband impulse radio baseband processing (CB, AG, JH, MB, CS), pp. 1614–1619.
DATEDATE-2009-ChenKLA
Accelerating FPGA-based emulation of quasi-cyclic LDPC codes with vector processing (XC, JK, SL, VA), pp. 1530–1535.
DATEDATE-2009-GargM #3d #analysis #process #variability
System-level process variability analysis and mitigation for 3D MPSoCs (SG, DM), pp. 604–609.
DATEDATE-2009-GhasemzadehJSJ #communication #network
Communication minimization for in-network processing in body sensor networks: A buffer assignment technique (HG, NJ, MS, RJ), pp. 358–363.
DATEDATE-2009-HaastregtK #automation #c #hardware #network #process #streaming #synthesis
Automated synthesis of streaming C applications to process networks in hardware (SvH, BK), pp. 890–893.
DATEDATE-2009-HongNKO #concurrent #multi #process #thread
Process variation aware thread mapping for Chip Multiprocessors (SH, SHKN, MTK, ÖÖ), pp. 821–826.
DATEDATE-2009-KeinertDHHT #algorithm #image #modelling #multi #optimisation #synthesis
Model-based synthesis and optimization of static multi-rate image processing algorithms (JK, HD, FH, CH, JT), pp. 135–140.
DATEDATE-2009-MendlerHT #algebra #interface
WCRT algebra and interfaces for esterel-style synchronous processing (MM, RvH, CT), pp. 93–98.
DATEDATE-2009-NagarajK #case study #process
A study on placement of post silicon clock tuning buffers for mitigating impact of process variation (KN, SK), pp. 292–295.
DATEDATE-2009-NovoLBPC #finite #precise
Finite precision processing in wireless applications (DN, ML, BB, LVdP, FC), pp. 1230–1233.
DATEDATE-2009-OetjensGGN #automation #hardware #process
An automated flow for integrating hardware IP into the automotive systems engineering process (JHO, RG, JG, WN), pp. 1196–1201.
DATEDATE-2009-RedaN #metric #modelling #novel #parametricity #process
Analyzing the impact of process variations on parametric measurements: Novel models and applications (SR, SRN), pp. 375–380.
DATEDATE-2009-SasanHEK #process #scalability
Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling (AS, HH, AME, FJK), pp. 911–916.
DocEngDocEng-2009-JoshiL #analysis #documentation #image #natural language #using #web
Web document text and images extraction using DOM analysis and natural language processing (PMJ, SL), pp. 218–221.
HTHT-2009-AlfordM #process #research
Scholarly research process: investigating the effects of link type and directionality (MLA, EM), pp. 99–108.
HTHT-2009-YahiaouiPB #documentation #framework #process
The redocumentation process of computer mediated activity traces: a general framework (LY, YP, ZB), pp. 363–364.
ICDARICDAR-2009-ChaudhuryJTSSM #analysis #image #pipes and filters
Google Newspaper Search — Image Processing and Analysis Pipeline (KC, AJ, ST, VS, SS, SM), pp. 621–625.
ICDARICDAR-2009-ChouaibVCT #documentation #feature model
Generic Feature Selection and Document Processing (HC, NV, FC, ST), pp. 356–360.
ICDARICDAR-2009-DriraLE #documentation #image #process #recognition
Document Images Restoration by a New Tensor Based Diffusion Process: Application to the Recognition of Old Printed Documents (FD, FL, HE), pp. 321–325.
ICDARICDAR-2009-GrosickiCBG #evaluation
Results of the RIMES Evaluation Campaign for Handwritten Mail Processing (EG, MC, JMB, EG), pp. 941–945.
ICDARICDAR-2009-ImpedovoMFS #process #roadmap
New Trends in Digital Scanning Processes (SI, RM, AF, ES), pp. 1071–1075.
ICDARICDAR-2009-Likforman-SulemDS #documentation #preprocessor
Pre-Processing of Degraded Printed Documents by Non-local Means and Total Variation (LLS, JD, EHBS), pp. 758–762.
ICDARICDAR-2009-NavonBO #approach #scalability
A Generic Form Processing Approach for Large Variant Templates (YN, EB, BO), pp. 311–315.
ICDARICDAR-2009-SharmaL #bound
Form Field Frame Boundary Removal for Form Processing System in Gurmukhi Script (DVS, GSL), pp. 256–260.
ICDARICDAR-2009-SharmaLM
Shape Encoded Post Processing of Gurmukhi OCR (DVS, GSL, SM), pp. 788–792.
ICDARICDAR-2009-SundaramR #online #recognition #using
An Improved Online Tamil Character Recognition Engine Using Post-Processing Methods (SS, AGR), pp. 1216–1220.
SIGMODSIGMOD-2009-KimC #documentation #named #performance #query #retrieval
Skip-and-prune: cosine-based top-k query processing for efficient context-sensitive document retrieval (JWK, KSC), pp. 115–126.
SIGMODSIGMOD-2009-NeumannW #graph #rdf #scalability
Scalable join processing on very large RDF graphs (TN, GW), pp. 627–640.
SIGMODSIGMOD-2009-TsirogiannisHSWG #query
Query processing techniques for solid state drives (DT, SH, MAS, JLW, GG), pp. 59–72.
SIGMODSIGMOD-2009-WeiLLGRC
Supporting a spectrum of out-of-order event processing technologies: from aggressive to conservative methodologies (MW, ML, ML, DG, EAR, KTC), pp. 1031–1034.
SIGMODSIGMOD-2009-YangPPK #authentication #database #outsourcing
Authenticated join processing in outsourced databases (YY, DP, SP, PK), pp. 5–18.
VLDBVLDB-2009-AhmadK #compilation #database #in memory #named #sql
DBToaster: A SQL Compiler for High-Performance Delta Processing in Main-Memory Databases (YA, CK), pp. 1566–1569.
VLDBVLDB-2009-MuellerTA
Data Processing on FPGAs (RM, JT, GA), pp. 910–921.
VLDBVLDB-2009-NehmeWRB #multi #query
Query Mesh: Multi-Route Query Processing Technology (RVN, KW, EAR, EB), pp. 1530–1533.
VLDBVLDB-2009-WillhalmPBPZS #in memory #named #performance #using
SIMD-Scan: Ultra Fast in-Memory Table Scan using on-Chip Vector Processing Units (TW, NP, YB, HP, AZ, JS), pp. 385–394.
VLDBVLDB-2009-ZhangACIMPS #query #xml
Binary XML Storage and Query Processing in Oracle 11g (NZ, NA, SC, SI, VM, SP, BS), pp. 1354–1365.
CSEETCSEET-2009-TaranRMB #collaboration #design #feedback #implementation #process #source code
A Training Process for Faculty Members in Collaborative Degree Programs: Design, Implementation and Feedback (GT, MZR, PM, PB), pp. 122–129.
ITiCSEITiCSE-2009-Boisvert #process #programming #visualisation
A visualisation tool for the programming process (CB), pp. 328–332.
ITiCSEITiCSE-2009-KiesmullerB #algorithm #automation #identification #problem
Automatically identifying learners’ problem solving strategies in-process solving algorithmic problems (UK, TB), p. 354.
ITiCSEITiCSE-2009-Porcheron #education #process
The bologna process in European education (PP), p. 3.
ESOPESOP-2009-BuscemiM #distributed #process
Abstract Processes in Orchestration Languages (MGB, HCM), pp. 301–315.
FoSSaCSFoSSaCS-2009-NeuhausserSK #markov #nondeterminism #process
Delayed Nondeterminism in Continuous-Time Markov Decision Processes (MRN, MS, JPK), pp. 364–379.
CSMRCSMR-2009-AversanoT #empirical #maintenance
Business Process-Aware Maintenance Task: A Preliminary Empirical Study (LA, MT), pp. 233–236.
CSMRCSMR-2009-Chikofsky #architecture #process #using
Using the ACART Process for Architecture Compliance (EJC), pp. 313–314.
CSMRCSMR-2009-FrancescomarinoMT #process #reverse engineering #web
Reverse Engineering of Business Processes exposed as Web Applications (CDF, AM, PT), pp. 139–148.
ICPCICPC-2009-KarumuriR #automation #detection
Automatic detection of internal queues and stages in message processing systems (SK, SPR), pp. 315–316.
ICSMEICSM-2009-BettenburgSH #empirical #off the shelf #risk management #using
An empirical study on the risks of using off-the-shelf techniques for processing mailing list data (NB, ES, AEH), pp. 539–542.
ICSMEICSM-2009-CaiYW #approach #empirical #maintenance #process
Business process recovery for system maintenance — An empirical approach (ZC, XY, XW), pp. 399–402.
MSRMSR-2009-SchackmannL #gnome #process #quality
Evaluating process quality in GNOME based on change request data (HS, HL), pp. 95–98.
SCAMSCAM-2009-LangeM #communication #csp #named #process #thread #towards
thr2csp: Toward Transforming Threads into Communicating Sequential Processes (RCL, SM), pp. 3–12.
CIAACIAA-2009-Koch #automaton #xml
Applications of Automata in XML Processing (CK), p. 2.
CIAACIAA-2009-Navarro #automaton #implementation #string
Implementation and Application of Automata in String Processing (GN), p. 1.
ICALPICALP-v2-2009-ChaputDPP #approximate #markov #process
Approximating Markov Processes by Averaging (PC, VD, PP, GDP), pp. 127–138.
ICALPICALP-v2-2009-NicolaLLM #calculus #probability #process
Rate-Based Transition Systems for Stochastic Process Calculi (RDN, DL, ML, MM), pp. 435–446.
FMFM-2009-HarrisKCJR #bound #data flow #process #verification
Verifying Information Flow Control over Unbounded Processes (WRH, NK, SC, SJ, TWR), pp. 773–789.
FMFM-2009-KohlhaseLSS #process
Formal Management of CAD/CAM Processes (MK, JL, LS, ES), pp. 223–238.
FMFM-2009-SunLRLD #abstraction #model checking #process
Fair Model Checking with Process Counter Abstraction (JS, YL, AR, SL, JSD), pp. 123–139.
IFMIFM-2009-MilhauFF #automation #error message #execution #fault #generative #process #symbolic computation
Automatic Generation of Error Messages for the Symbolic Execution of EB3 Process Expressions (JM, BF, MF), pp. 337–351.
IFMIFM-2009-SalaunB #algebra #encoding #process #using
Realizability of Choreographies Using Process Algebra Encodings (GS, TB), pp. 167–182.
IFMIFM-2009-StockerLG #parallel #process #realtime
Parallel Processes with Real-Time and Data: The ATLANTIF Intermediate Format (JS, FL, HG), pp. 88–102.
CEFPCEFP-2009-CesariniT #behaviour #design pattern #erlang #process #programming
Erlang Behaviours: Programming with Process Design Patterns (FC, SJT), pp. 19–41.
CHICHI-2009-ChinKW #process
Exploring the analytical processes of intelligence analysts (GCJ, OAK, KEW), pp. 11–20.
CHICHI-2009-ConvertinoMRSC #process
Supporting content and process common ground in computer-supported teamwork (GC, HMM, MBR, AS, JMC), pp. 2339–2348.
HCIDHM-2009-NiedermaierDEK #process #usability
The New BMW iDrive — Applied Processes and Methods to Assure High Usability (BN, SD, LE, AK), pp. 443–452.
HCIHCD-2009-GonzalezPST #development #interface #process
Development of CSCW Interfaces from a User-Centered Viewpoint: Extending the TOUCHE Process Model through Defeasible Argumentation (MPG, VMRP, GRS, RT), pp. 955–964.
HCIHCD-2009-KremsB #process
Driving and Situation Awareness: A Cognitive Model of Memory-Update Processes (JFK, MRKB), pp. 986–994.
HCIHCD-2009-Kushi #case study #concept #design #interface #process #research #student #video #visualisation
Organized Reframing Process with Video Ethnography: A Case Study of Students’ Design Project for New Interface Concept from Research to Visualization (KK), pp. 239–246.
HCIHCD-2009-LoveHA #case study #design #industrial #mobile #process #requirements
Accommodating Real User and Organisational Requirements in the Human Centered Design Process: A Case Study from the Mobile Phone Industry (SL, PH, MA), pp. 758–764.
HCIHCD-2009-Park09b #case study #design #information management
A Study of Design That Understands the Influences on the Changes of Information Processing Ability of Users (JHP), pp. 538–547.
HCIHCD-2009-RoblesAAP #process
Accelerating the Knowledge Innovation Process (GCR, GAH, AAAL, RPG), pp. 184–192.
HCIHCD-2009-RuggeRS #development #human-computer #process #smarttech #towards
Changes of HCI Methods towards the Development Process of Wearable Computing Solutions (IR, CR, BSR), pp. 302–311.
HCIHCD-2009-SanchezZG #development #game studies #process #usability #video
From Usability to Playability: Introduction to Player-Centred Video Game Development Process (JLGS, NPZ, FLG), pp. 65–74.
HCIHCD-2009-TeixeiraSFS #development #information management #process
The User’s Role in the Development Process of a Clinical Information System: An Example in Hemophilia Care (LT, VS, CF, BSS), pp. 922–931.
HCIHCI-NIMT-2009-Alexandris #approach #editing #process
A Speech-Act Oriented Approach for User-Interactive Editing and Regulation Processes Applied in Written and Spoken Technical Texts (CA), pp. 645–653.
HCIHCI-NIMT-2009-PantforderVS #3d #evaluation #interactive #problem #process #visualisation
Benefit and Evaluation of Interactive 3D Process Data Visualization for the Presentation of Complex Problems (DP, BVH, KS), pp. 869–878.
HCIHCI-NT-2009-GaoBA #assessment #monitoring
Monitoring and Processing of the Pupil Diameter Signal for Affective Assessment of a Computer User (YG, AB, MA), pp. 49–58.
HCIHCI-NT-2009-KimWW #adaptation #parametricity #process #recognition #research #using
The Research on Adaptive Process for Emotion Recognition by Using Time-Dependent Parameters of Autonomic Nervous Response (JK, MCW, JW), pp. 77–84.
HCIHCI-NT-2009-RajK #agile #consistency #design pattern #interactive #library #mobile #named #process #user interface
RUCID: Rapid Usable Consistent Interaction Design Patterns-Based Mobile Phone UI Design Library, Process and Tool (AR, VK), pp. 677–686.
HCIHCI-VAD-2009-DogusoyC #comprehension #eye tracking #learning #process
An Innovative Way of Understanding Learning Processes: Eye Tracking (BD, ), pp. 94–100.
HCIHCI-VAD-2009-KobayashiST #named #video
ImproV: A System for Improvisational Construction of Video Processing Flow (AK, BS, JT), pp. 534–542.
HCIHIMI-DIE-2009-GarciaV #performance
Effects of Practice with Foot- and Hand-Operated Secondary Input Devices on Performance of a Word-Processing Task (FPG, KPLV), pp. 505–514.
HCIHIMI-DIE-2009-KojimaFI #process #web #web service
Web Service Systems for Cooperative Work Support in Knowledge Creation Processes (HK, KF, TI), pp. 94–103.
HCIHIMI-DIE-2009-LeeLKC #detection #enterprise #fault #information management #process #statistics #using
Proactive Fault Detection Schema for Enterprise Information System Using Statistical Process Control (CL, DL, JK, JWC), pp. 113–122.
HCIHIMI-DIE-2009-OcenasekS #analysis #metric #process #workflow
A Model for Measurement and Analysis of the Workflow Processes (PO, MS), pp. 149–153.
HCIHIMI-DIE-2009-Schlegel #distributed #interactive #object-oriented #process
Object-Oriented Interactive Processes in Decentralized Production Systems (TS), pp. 296–305.
HCIHIMI-II-2009-AllamrajuC #clustering #documentation #heuristic #preprocessor
Enhancing Document Clustering through Heuristics and Summary-Based Pre-processing (SHA, RC), pp. 105–113.
HCIHIMI-II-2009-FujitaS #case study #process #safety
The Importance of Information in the Process of Acquisition and Usage of a Medicine for Patient Safety: A Study of the Brazilian Context (PLF, CGS), pp. 489–496.
HCIHIMI-II-2009-KimHPPPKH
An OWL-Based Knowledge Model for Combined-Process-and-Location Aware Service (GK, MH, JP, HP, SHP, LK, SH), pp. 159–167.
HCIHIMI-II-2009-NordinNZ #community #design #network #process #semantics #visualisation
Batik KR Semantic Network: Visualizations of Creative Process and Design Knowledge for the Malaysian Batik Designers’ Community (AN, NLMN, AZ), pp. 334–341.
HCIIDGD-2009-EugeneHMBRL #authentication #design #process #what
This Is Who I Am and This Is What I Do: Demystifying the Process of Designing Culturally Authentic Technology (WE, LH, KM, QB, YAR, SL), pp. 19–28.
AdaEuropeAdaEurope-2009-BuchsLC #generative #model checking #modelling #process #testing
Model Checking Techniques for Test Generation from Business Process Models (DB, LL, AC), pp. 59–74.
AdaEuropeAdaEurope-2009-SokolskyLC #algebra #modelling
Process-Algebraic Interpretation of AADL Models (OS, IL, DC), pp. 222–236.
AdaSIGAda-2009-McCormick #ada #parallel #realtime
Ada for real-time and parallel processing (JWM), pp. 13–14.
CAiSECAiSE-2009-Aalst #process
TomTom for Business Process Management (TomTom4BPM) (WMPvdA), pp. 2–5.
CAiSECAiSE-2009-BianchiniCAP #design #named #process #web #web service
P2S: A Methodology to Enable Inter-organizational Process Design through Web Services (DB, CC, VDA, BP), pp. 334–348.
CAiSECAiSE-2009-GottschalkWJAR #case study #configuration management #experience #modelling #process
Configurable Process Models: Experiences from a Municipality Case Study (FG, TACW, MHJV, WMPvdA, MLR), pp. 486–500.
CAiSECAiSE-2009-HornungML #algebra #process #query #workflow
Process Algebra-Based Query Workflows (TH, WM, GL), pp. 440–454.
CAiSECAiSE-2009-IndulskaRRG #challenge #modelling #process #roadmap
Business Process Modeling: Current Issues and Future Challenges (MI, JR, MR, PFG), pp. 501–514.
CAiSECAiSE-2009-WeberRZW #approach #declarative #empirical #execution #process
The Declarative Approach to Business Process Execution: An Empirical Test (BW, HAR, SZ, WW), pp. 470–485.
EDOCEDOC-2009-BoukhebouzeABM #modelling #process #rule-based #using #verification
Rule-Based Modeling and Verification of Business Processes Using ECAPE Net (MB, YA, ANB, ZM), p. 74–?.
EDOCEDOC-2009-DijkmanDGK #modelling #process
Aligning Business Process Models (RMD, MD, LGB, RK), pp. 45–53.
EDOCEDOC-2009-HingeGK #modelling #process #semantics
Process SEER: A Tool for Semantic Effect Annotation of Business Process Models (KH, AKG, GK), pp. 54–63.
EDOCEDOC-2009-MilanovicG #modelling #process #towards
Towards a Language for Rule-Enhanced Business Process Modeling (MM, DG), pp. 64–73.
EDOCEDOC-2009-WetzsteinLRBDL #monitoring #performance #process
Monitoring and Analyzing Influential Factors of Business Process Performance (BW, PL, FR, IB, SD, FL), pp. 141–150.
ICEISICEIS-DISI-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-AIDSS-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-AIDSS-2009-OroR #process #semantics #towards
Towards a Semantic System for Managing Clinical Processes (EO, MR), pp. 180–187.
ICEISICEIS-AIDSS-2009-TadeusiewiczOO #automation #comprehension #information management
Automatic Information Processing and Understanding in Cognitive Business Systems (RT, MRO, LO), pp. 5–10.
ICEISICEIS-AIDSS-2009-WuWY #process
An Agent-based System for Healthcare Process Management (BW, MW, HY), pp. 45–49.
ICEISICEIS-DISI-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-DISI-2009-Perez-CebrerosMC #algorithm #parallel #query #scheduling
PSO-based Resource Scheduling Algorithm for Parallel Query Processing on Grids (APC, GML, NCC), pp. 131–137.
ICEISICEIS-DISI-2009-PyCBT #approach #integration #modelling #process
A Service-based Approach for Data Integration based on Business Process Models (HP, LC, FAB, AKT), pp. 222–227.
ICEISICEIS-HCI-2009-BrisaboaCLS #documentation #process #workflow
Defining a Workflow Process for Textual and Geographic Indexing of Documents (NRB, ACP, MRL, DS), pp. 78–83.
ICEISICEIS-HCI-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-HCI-2009-FogliP #design #development #information management #interactive #process #towards
Information System Customization — Toward Participatory Design and Development of the Interaction Process (DF, LPP), pp. 72–77.
ICEISICEIS-HCI-2009-LukoschVH #adaptation #collaboration #process
Enabling Context-adaptive Collaboration for Knowledge-intense Processes (SL, DV, JMH), pp. 34–41.
ICEISICEIS-ISAS-2009-Barjis09a #information management #modelling #process #security
Information Systems Security based on Business Process Modeling (JB), pp. 213–218.
ICEISICEIS-ISAS-2009-BelkhatirBB #industrial #process #re-engineering
Business Process Re-engineering in Supply Chains Examining the Case of the Expanding Halal Industry (MB, SB, NB), pp. 77–82.
ICEISICEIS-ISAS-2009-BifflFHM #analysis #automation #evaluation #open source #process #tool support
Evaluation of Case Tool Methods and Processes — An Analysis of Eight Open-source CASE Tools (SB, CF, CH, TM), pp. 41–48.
ICEISICEIS-ISAS-2009-CiaramellaCLM #agile #process #prototype #using
Using BPMN and Tracing for Rapid Business Process Prototyping Environments (AC, MGCAC, BL, FM), pp. 206–212.
ICEISICEIS-ISAS-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-ISAS-2009-Damij #effectiveness #modelling #process
An Effective Process Modelling Technique (ND), pp. 298–303.
ICEISICEIS-ISAS-2009-GruhnK #analysis #mobile #modelling #process
Modelling, Analysis and Improvement of Mobile Business Processes with the MPL Method (VG, AK), pp. 89–94.
ICEISICEIS-ISAS-2009-KillispergerPSS #generative #process #validation
Method Manual based Process Generation and Validation (PK, GP, MS, TS), pp. 256–261.
ICEISICEIS-ISAS-2009-LauITR #analysis #modelling #process
Discovery and Analysis of Activity Pattern Co-occurrences in Business Process Models (JML, CI, LHT, MR), pp. 83–88.
ICEISICEIS-ISAS-2009-LeiteG #analysis #multi #process #requirements
A Process for Multi-agent Domain and Application Engineering — The Domain Analysis and Application Requirements Engineering Phases (AL, RG), pp. 156–161.
ICEISICEIS-ISAS-2009-MacielSMR #approach #development #modelling #process #specification
An Approach to Model-driven Development Process Specification (RSPM, BCdS, APFM, NSR), pp. 27–32.
ICEISICEIS-ISAS-2009-MarkovicH #abstraction #modelling #process #towards
Towards Integrating Perspectives and Abstraction Levels in Business Process Modeling (IM, FH), pp. 286–291.
ICEISICEIS-ISAS-2009-NascimentoITR #legacy #process #using
A Method for Rewriting Legacy Systems using Business Process Management Technology (GSdN, CI, LHT, MR), pp. 57–62.
ICEISICEIS-ISAS-2009-OussenaB #analysis #implementation #modelling #process #using
Layered Process Models — Analysis and Implementation (using MDA Principles) (SO, BSB), pp. 168–175.
ICEISICEIS-ISAS-2009-PereiraSS #approach #concept #process #scalability #semantics
A Socio-semantic Approach to the Conceptualisation of Domains, Processes and Tasks in Large Projects (CP, CS, ALS), pp. 237–242.
ICEISICEIS-ISAS-2009-WangWWL #canonical #modelling #process
Deriving Canonical Business Object Operation Nets from Process Models (ZW, JW, LW, YL), pp. 182–187.
ICEISICEIS-ISAS-2009-Woo #modelling #process #reuse
Finding Reusable Business Process Models based on Structural Matching (HGW), pp. 249–255.
ICEISICEIS-ISAS-2009-ZhangR #petri net #process
A Petri Net Model of Process Platform-based Production Configuration (LLZ, BR), pp. 5–12.
ICEISICEIS-J-2009-AversanoT #maintenance #process
Business Process-Awareness in the Maintenance Activities (LA, MT), pp. 577–589.
ICEISICEIS-J-2009-BoglSPW #automation #process
Automated Construction of Process Goal Trees from EPC-Models to Facilitate Extraction of Process Patterns (AB, MS, GP, NW), pp. 427–442.
ICEISICEIS-J-2009-BohmHLW #deployment #integration #process
Invisible Deployment of Integration Processes (MB, DH, WL, UW), pp. 53–65.
ICEISICEIS-J-2009-BohmHPLW #integration #process
Vectorizing Instance-Based Integration Processes (MB, DH, SP, WL, UW), pp. 40–52.
ICEISICEIS-J-2009-BonacinBR #agile #development #process
An Agile Process Model for Inclusive Software Development (RB, MCCB, MAR), pp. 807–818.
ICEISICEIS-J-2009-BoukhebouzeABM #execution #process #self #towards
Towards Self-healing Execution of Business Processes Based on Rules (MB, YA, ANB, ZM), pp. 501–512.
ICEISICEIS-J-2009-MoralesC #automation #composition #process #verification
Automatic Compositional Verification of Business Processes (LEMM, MIC), pp. 479–490.
ICEISICEIS-J-2009-MulazzaniRS #monitoring #process
Developing Business Process Monitoring Probes to Enhance Organization Control (FM, BR, GS), pp. 456–466.
ICEISICEIS-J-2009-QuimbayaRAV #knowledge base #query #scalability
Knowledge Based Query Processing in Large Scale Virtual Organizations (APQ, CR, JA, MDPV), pp. 208–219.
ICEISICEIS-J-2009-RaymondCB #process
The Integrative Role of IT in Product and Process Innovation: Growth and Productivity Outcomes for Manufacturing (LR, AMC, FB), pp. 27–39.
ICEISICEIS-J-2009-WauteletALK #adaptation #process
A Process for Developing Adaptable and Open Service Systems: Application in Supply Chain Management (YW, YA, JCL, MK), pp. 564–576.
ICEISICEIS-SAIC-2009-Damiani #collaboration #process
Risk-aware Collaborative Processes (ED), p. 29.
ICEISICEIS-SAIC-2009-DangHHT #personalisation #process #semantics #workflow
Personalized Medical Workflow through Semantic Business Process Management (JD, AH, KH, CT), pp. 122–127.
ICEISICEIS-SAIC-2009-DronavajjalaNS #analysis #enterprise #identification #process #project management #relational
Identification of Critical Success Factors to ERP Project Management — An Application of Grey Relational Analysis and Analytic Hierarchy Process (CSD, SN, RS), pp. 187–192.
ICEISICEIS-SAIC-2009-WuFL #implementation #integration #online #physics #process
A Process for Implementing Online and Physical Business based on a Strategy Integration Aspect (ILW, CYF, CWL), pp. 5–11.
CIKMCIKM-2009-ChengKN #graph #performance #query #scalability
Efficient processing of group-oriented connection queries in a large graph (JC, YK, WN), pp. 1481–1484.
CIKMCIKM-2009-DoulkeridisVNKV #distributed #multi #performance #query
Multidimensional routing indices for efficient distributed query processing (CD, AV, KN, YK, MV), pp. 1489–1492.
CIKMCIKM-2009-FletcherB #graph #performance #rdf #scalability
Scalable indexing of RDF graphs for efficient join processing (GHLF, PWB), pp. 1513–1516.
CIKMCIKM-2009-GedikAW #approach #code generation #data type #distributed #optimisation
A code generation approach to optimizing high-performance distributed data stream processing (BG, HA, KLW), pp. 847–856.
CIKMCIKM-2009-LeeM #query #relational #xml
Bitmap indexes for relational XML twig query processing (KHL, BM), pp. 465–474.
CIKMCIKM-2009-LianC #data type #nondeterminism #performance
Efficient join processing on uncertain data streams (XL, LC), pp. 857–866.
CIKMCIKM-2009-LiuMY #fuzzy #pattern matching #performance #xml
Efficient processing of twig pattern matching in fuzzy XML (JL, ZMM, LY), pp. 117–126.
CIKMCIKM-2009-LukYL #query #relational
Group-by skyline query processing in relational engines (MHL, MLY, EL), pp. 1433–1436.
CIKMCIKM-2009-ParkSNL #multi #named #query
M-COPE: a multiple continuous query processing engine (HKP, SJS, SHN, WSL), pp. 2065–2066.
ECIRECIR-2009-SarigiannisPB #case study #distributed #query #web
A Study of the Impact of Index Updates on Distributed Query Processing for Web Search (CS, VP, RABY), pp. 595–602.
ICMLICML-2009-AdamsMM #parametricity #process
Tractable nonparametric Bayesian inference in Poisson processes with Gaussian process intensities (RPA, IM, DJCM), pp. 9–16.
ICMLICML-2009-DeisenrothHH #process
Analytic moment-based Gaussian process filtering (MPD, MFH, UDH), pp. 225–232.
ICMLICML-2009-Doshi-VelezG #process
Accelerated sampling for the Indian Buffet Process (FDV, ZG), pp. 273–280.
ICMLICML-2009-LawrenceU #matrix #process
Non-linear matrix factorization with Gaussian processes (NDL, RU), pp. 601–608.
ICMLICML-2009-PaisleyC #analysis #parametricity #process
Nonparametric factor analysis with beta process priors (JWP, LC), pp. 777–784.
ICMLICML-2009-Schmidt #process #using
Function factorization using warped Gaussian processes (MNS), pp. 921–928.
ICMLICML-2009-Smith #natural language #predict #summary #tutorial
Tutorial summary: Structured prediction for natural language processing (NAS), p. 20.
KEODKEOD-2009-CastelaT #enterprise #process
Enhancing Organizational Self Awareness — The As-is Enterprise Model Dynamic Updating Process (NC, JMT), pp. 315–320.
KEODKEOD-2009-DolsakN #analysis #design #process
Intelligent Analytical Aids in Design — Decision Support to Engineering Analysis Process (BD, MN), pp. 129–134.
KEODKEOD-2009-NovakD #design #process
Intelligent Support to Analysis-based Design Improvement PROCESS — Propose: An Intelligent Consultative Advisory System (MN, BD), pp. 135–140.
KMISKMIS-2009-AbelL #process #web
A Web Plaform for Innovation Process Facilitation (MHA, AL), pp. 141–146.
KMISKMIS-2009-Al-Busaidi #information management #process
A Knowledge Management Perspective on Corporate Portal — Processes and Benefits (KAAB), pp. 69–74.
KMISKMIS-2009-AuerbachH #case study #information management #process
Process Oriented Knowledge Management — IT System and Case Study (MA, AH), pp. 262–265.
KMISKMIS-2009-BahrsH #case study #evaluation #process
KMDL for Innovation and Production Ramp-up Process Evaluation — A Case Study (JB, PH), pp. 280–283.
KMISKMIS-2009-FalcaoNC #agile #data transformation #education #optimisation #process
Application of Lean Six Sigma Methodology to Optimization Processes of Data Management in an Educational Context (SF, FN, AC), pp. 309–312.
KMISKMIS-2009-FilipeF #process #student
A Student Personal System for Bologna Process Mobility (PPF, JCF), pp. 194–199.
KMISKMIS-2009-HeinzeG #development #enterprise #maturity #process #quality
Quality Management in Knowledge Intensive Business Processes — Development of a Maturity Model to Measure the Quality of Knowledge Intensive Business Processes in Small and Medium Enterprises (PH, DG), pp. 276–279.
KMISKMIS-2009-RadeschutzM #analysis #optimisation #process
Extended Analysis Techniques for a Comprehensive Business Process Optimization (SR, BM), pp. 77–82.
KMISKMIS-2009-Repa #process
Service-oriented Business Process Management (VR), pp. 284–287.
KMISKMIS-2009-RohrbaughH #information management #multi #process
Value Knowledge Management — Process Structuring for Multi-party Conflict (JR, SH), pp. 63–68.
KMISKMIS-2009-SourouniKPA #enterprise #modelling #ontology #process
An Upper Ontology for Enterprise Process Modelling (AMS, GK, JEP, DA), pp. 328–331.
KMISKMIS-2009-WiilMG #information management #process #tool support
Knowledge Management Processes, Tools and Techniques for Counterterrorism (UKW, NM, JG), pp. 29–36.
MLDMMLDM-2009-MendesA #approach #mining #natural language
Pattern Mining with Natural Language Processing: An Exploratory Approach (ACM, CA), pp. 266–279.
RecSysRecSys-2009-CastagnosJP #process #recommendation
Recommenders’ influence on buyers’ decision process (SC, NJ, PP), pp. 361–364.
RecSysRecSys-2009-Tolomei #mining #process #recommendation #web
Search the web x.0: mining and recommending web-mediated processes (GT), pp. 417–420.
SEKESEKE-2009-AlbuquerqueR #execution #process
A Real Execution of a Software Process Improvement: An Opportunity to Execute a Combination of Approaches (ABA, ARR), pp. 661–665.
SEKESEKE-2009-BarbosaB #elicitation #process #requirements #towards
Towards the Selection of the Most Suitable Elicitation Technique Through a Defined Requirements Elicitation Process (MWB, GARB), pp. 33–36.
SEKESEKE-2009-BiancoSS #development #process
Analyzing the Software Development Process with SyQL and Lagrein (MB, AS, GS), pp. 682–687.
SEKESEKE-2009-CellierDFR #data mining #fault #locality #mining #named #process
DeLLIS: A Data Mining Process for Fault Localization (PC, MD, SF, OR), pp. 432–437.
SEKESEKE-2009-Hayshi #outsourcing #process
Establish Decision Making Process for Selecting Outsourcing Company (AH), pp. 666–671.
SEKESEKE-2009-HeWGZM #modelling #process #weaving
Weaving Process Patterns into Software Process Models (XyH, YsW, JgG, WZ, JkM), pp. 505–508.
SEKESEKE-2009-JuniorAM #architecture #process
A Systematic SOA-based Architecture Process (JJLDJ, ESdA, SRdLM), pp. 328–333.
SEKESEKE-2009-MitaniMIBMM #empirical #feedback #metric
An Empirical Study of the Feedback of the In-process Measurement in a Japanese Consortium-type Software Project (YM, TM, KI, MB, AM, KiM), pp. 631–636.
SEKESEKE-2009-SeoL #evolution #open source #process #simulation
Agent-based Simulation Model for the Evolution Process of Open Source Software (TS, HL), pp. 170–177.
SEKESEKE-2009-VanHilstH #metric #mining #process #repository
Mining Objective Process Metrics from Repository Data (MVH, SH), pp. 514–519.
ECMFAECMDA-FA-2009-KoudriCAS #analysis #design #process
MoPCoM/MARTE Process Applied to a Cognitive Radio System Design and Analysis (AK, JC, DA, PS), pp. 277–288.
ECMFAECMDA-FA-2009-KusterGE #modelling #process
Dependent and Conflicting Change Operations of Process Models (JMK, CG, GE), pp. 158–173.
MODELSMoDELS-2009-GerthKE #independence #modelling #process
Language-Independent Change Management of Process Models (CG, JMK, GE), pp. 152–166.
MODELSMoDELS-2009-MazanekM #diagrams #editing #modelling #process
Business Process Models as a Showcase for Syntax-Based Assistance in Diagram Editors (SM, MM), pp. 322–336.
MODELSMoDELS-2009-MilanovicGWH #modelling #process
Rule-Enhanced Business Process Modeling Language for Service Choreographies (MM, DG, GW, MH), pp. 337–341.
MODELSMoDELS-2009-GerthKE #independence #modelling #process
Language-Independent Change Management of Process Models (CG, JMK, GE), pp. 152–166.
MODELSMoDELS-2009-MazanekM #diagrams #editing #modelling #process
Business Process Models as a Showcase for Syntax-Based Assistance in Diagram Editors (SM, MM), pp. 322–336.
MODELSMoDELS-2009-MilanovicGWH #modelling #process
Rule-Enhanced Business Process Modeling Language for Service Choreographies (MM, DG, GW, MH), pp. 337–341.
TOOLSTOOLS-EUROPE-2009-SanchezJVD #modelling #process
Extensibility in Model-Based Business Process Engines (MES, CJ, JV, DD), pp. 157–174.
PPDPPPDP-2009-ClericiZ #evaluation
A dynamically customizable process-centered evaluation model (SC, CZ), pp. 37–48.
PPDPPPDP-2009-ZhuDFJMPW #ad hoc #distributed
Language support for processing distributed ad hoc data (KQZ, DSD, KF, LJ, YM, VSP, DW), pp. 243–254.
QAPLQAPL-2009-Bernardo #logic #markov #nondeterminism #probability #process #testing
Uniform Logical Characterizations of Testing Equivalences for Nondeterministic, Probabilistic and Markovian Processes (MB), pp. 3–23.
QAPLQAPL-2009-LanevePZ #probability #process
From Biochemistry to Stochastic Processes (CL, SP, GZ), pp. 167–185.
QAPLQAPL-2009-MuraPPR #markov
Exploiting non-Markovian Bio-Processes (IM, DP, CP, AR), pp. 83–98.
RERE-2009-CarvalloF #component #on the #process #requirements
On the Use of Requirements for Driving Call-for-Tender Processes for Procuring Coarse-grained OTS Components (JPC, XF), pp. 287–292.
RERE-2009-DecreusSP #challenge #modelling #process
Practical Challenges for Methods Transforming i* Goal Models into Business Process Models (KD, MS, GP), pp. 15–23.
RERE-2009-LieglSZHWABKMMW #process #requirements
[vem: xi: ] — A Methodology for Process Based Requirements Engineering (PL, RS, MZ, CH, HW, MA, MB, BK, MM, RM, MW), pp. 193–202.
REFSQREFSQ-2009-LaurentC #lessons learnt #online #open source #process #requirements
Lessons Learned from Open Source Projects for Facilitating Online Requirements Processes (PL, JCH), pp. 240–255.
SACSAC-2009-CaldersGPR #mining #process #using
Using minimum description length for process mining (TC, CWG, MP, AR), pp. 1451–1455.
SACSAC-2009-CastilloGC #database #legacy #named #process #re-engineering #web #web service
PRECISO: a reengineering process and a tool for database modernisation through web services (RPC, IGRdG, IC), pp. 2126–2133.
SACSAC-2009-CossentinoSS #collaboration #design #process
A collaborative tool for designing and enacting design processes (MC, LS, VS), pp. 715–721.
SACSAC-2009-DecreusP #modelling #process #semantics
Mapping semantically enriched Formal Tropos to business process models (KD, GP), pp. 371–376.
SACSAC-2009-EsfahaniMSU #mining #process #using
Using process mining to business process distribution (FSE, MAAM, MNS, NIU), pp. 2140–2145.
SACSAC-2009-EshuisN #outsourcing #process
Constructing process views for service outsourcing (RE, AN), pp. 1615–1616.
SACSAC-2009-FloraTB #forensics #identification #image #using
Forensic bite mark identification using image processing methods (GF, MT, HB), pp. 903–907.
SACSAC-2009-Garcia-OjedaDR #design #editing #process
agentTool process editor: supporting the design of tailored agent-based processes (JCGO, SAD, R), pp. 707–714.
SACSAC-2009-GroppeGEL #in memory #memory management #performance #strict
Efficient processing of SPARQL joins in memory by dynamically restricting triple patterns (JG, SG, SE, VL), pp. 1231–1238.
SACSAC-2009-HecklM #composition #process
Operational control of service processes: modularization as precondition (DH, JM), pp. 239–244.
SACSAC-2009-JungHKY #evaluation #memory management #reliability
A practical evaluation of large-memory data processing on a reliable remote memory system (HJ, HH, SGK, HYY), pp. 343–344.
SACSAC-2009-LeeJKKKC #effectiveness #process
Shader space navigator: a turbo for an intuitive and effective shading process (JHL, MHJ, DYK, SWK, MHK, JSC), pp. 945–946.
SACSAC-2009-LeoniGLM #adaptation #mobile #online #process
On-line adaptation of sequential mobile processes running concurrently (MdL, GDG, YL, MM), pp. 1345–1352.
SACSAC-2009-LinfordS #effectiveness #parallel
Vector stream processing for effective application of heterogeneous parallelism (JCL, AS), pp. 976–980.
SACSAC-2009-MendesPDB #ad hoc #execution #named #process #specification #web #web service
WebFlowAH: an environment for ad-hoc specification and execution of web services-based processes (RM, PFP, FCD, TVB), pp. 692–693.
SACSAC-2009-MolesiniDNO #process
Situated process engineering for integrating processes from methodologies to infrastructures (AM, ED, EN, AO), pp. 699–706.
SACSAC-2009-MullerK #interactive #visual notation
Attention driven visual processing for an interactive dialog robot (TM, AK), pp. 1151–1155.
SACSAC-2009-PaimNPSCB #process
Structuring a process management center of excellence (RP, VTN, BP, FMS, CC, FAB), pp. 281–282.
SACSAC-2009-PinoGP #process
Key processes to start software process improvement in small companies (FJP, FG, MP), pp. 509–516.
SACSAC-2009-RamanathanRG #framework #people #process #trade-off #using
The people, the process or the technology?: Using the ACE framework to make tradeoffs in service delivery improvement (JR, RR, RG), pp. 259–264.
SACSAC-2009-Rittgen #case study #collaboration #comparative #modelling #process
Collaborative modeling of business processes: a comparative case study (PR), pp. 225–230.
SACSAC-2009-RodriguesMF #algebra #implementation #navigation #process #web #web service
Implementing rigorous web services with process algebra: navigation plan for web services (MCR, SM, JEF), pp. 625–631.
SACSAC-2009-RossiTV #process #simulation
Simulating business processes with EPML.SIM (DR, ET, FV), pp. 2101–2102.
SACSAC-2009-WangDLL #performance #process
An efficient and accurate lattice for pricing derivatives under a jump-diffusion process (CJW, TSD, YDL, YCL), pp. 966–970.
SACSAC-2009-XieLY #elicitation #optimisation #process #requirements
i*-prefer: optimizing requirements elicitation process based on actor preferences (HX, LL, JY), pp. 347–354.
SACSAC-2009-YeeNF #peer-to-peer #query
Alternatives to conjunctive query processing in peer-to-peer file-sharing systems (WGY, LTN, OF), pp. 1737–1741.
SACSAC-2009-Yu #consistency #distributed #process
Consistent and decentralized orchestration of BPEL processes (WY), pp. 1583–1584.
ESEC-FSEESEC-FSE-2009-CataldoN #analysis #empirical #maturity #on the #process #quality
On the relationship between process maturity and geographic distribution: an empirical analysis of their impact on software quality (MC, SN), pp. 101–110.
ESEC-FSEESEC-FSE-2009-ZimmermannNGGM #empirical #fault #predict #process #scalability
Cross-project defect prediction: a large scale experiment on data vs. domain vs. process (TZ, NN, HG, EG, BM), pp. 91–100.
GTTSEGTTSE-2009-Sloane #kiama #lightweight
Lightweight Language Processing in Kiama (AMS), pp. 408–425.
ICSEICSE-2009-ComanSS #analysis #automation #case study #industrial #metric #re-engineering #using
A case-study on using an Automated In-process Software Engineering Measurement and Analysis system in an industrial environment (IDC, AS, GS), pp. 89–99.
ICSEICSE-2009-DamasLRL #behaviour #modelling #process #synthesis
Analyzing critical process models through behavior model synthesis (CD, BL, FR, AvL), pp. 441–451.
ICSEICSE-2009-MenziesWBH #how #probability #process #using
How to avoid drastic software process change (using stochastic stability) (TM, SW, BWB, JH), pp. 540–550.
ICSEICSE-2009-RamasubbuB #analysis #empirical #maturity #process
The impact of process choice in high maturity environments: An empirical analysis (NR, RKB), pp. 529–539.
SLESLE-2009-MalletLAGT #automation #domain model #implementation #modelling #multi #process
An Automated Process for Implementing Multilevel Domain Models (FM, FL, CA, SG, FT), pp. 314–333.
ASPLOSASPLOS-2009-CameronL #architecture #induction #parallel #principle
Architectural support for SWAR text processing with parallel bit streams: the inductive doubling principle (RDC, DL), pp. 337–348.
CCCC-2009-Padioleau #c #c++ #parsing #preprocessor
Parsing C/C++ Code without Pre-processing (YP), pp. 109–125.
HPCAHPCA-2009-FuLF #fault #process
Soft error vulnerability aware process variation mitigation (XF, TL, JABF), pp. 93–104.
HPCAHPCA-2009-SubramaniamBWL #optimisation #performance
Criticality-based optimizations for efficient load processing (SS, AB, HW, GHL), pp. 419–430.
HPDCHPDC-2009-AsikiTK #adaptation #online #performance
An adaptive online system for efficient processing of hierarchical data (AA, DT, NK), pp. 71–80.
HPDCHPDC-2009-HeadG #execution #parallel #performance #scalability #xml
Performance enhancement with speculative execution based parallelism for processing large-scale xml-based application data (MRH, MG), pp. 21–30.
HPDCHPDC-2009-LiSDZ #parallel #performance #predict
Performance prediction based on hierarchy parallel features captured in multi-processing system (JL, FS, ND, QZ), pp. 63–64.
LCTESLCTES-2009-McKechnieBV #debugging #monitoring #transaction
Debugging FPGA-based packet processing systems through transaction-level communication-centric monitoring (PEM, MB, WV), pp. 129–136.
CSLCSL-2009-To #model checking #process
Model Checking FO(R) over One-Counter Processes and beyond (AWT), pp. 485–499.
ICLPICLP-2009-Paolucci #natural language #research #summary #tool support
Research Summary: Intelligent Natural Language Processing Techniques and Tools (AP), pp. 536–537.
ICSTICST-2009-HeckmanW #identification #process #static analysis
A Model Building Process for Identifying Actionable Static Analysis Alerts (SSH, LAW), pp. 161–170.
ICSTICST-2009-JohM #process
Seasonal Variation in the Vulnerability Discovery Process (HJ, YKM), pp. 191–200.
LICSLICS-2009-BengtsonJPV #calculus #logic #mobile #named #process
Psi-calculi: Mobile Processes, Nominal Data, and Logic (JB, MJ, JP, BV), pp. 39–48.
LICSLICS-2009-GollerMT #complexity #on the #process #verification
On the Computational Complexity of Verifying One-Counter Processes (SG, RM, AWT), pp. 235–244.
LICSLICS-2009-RougemontT #analysis #probability #process #statistics
Statistic Analysis for Probabilistic Processes (MdR, MT), pp. 299–308.
ICSTSAT-2009-BelovS #probability #process #satisfiability
Improving Variable Selection Process in Stochastic Local Search for Propositional Satisfiability (AB, ZS), pp. 258–264.
TAPTAP-2009-HerberFG #model checking #process #testing
Combining Model Checking and Testing in a Continuous HW/SW Co-verification Process (PH, FF, SG), pp. 121–136.
TLCATLCA-2009-MostrousY #communication #higher-order #mobile #optimisation #process
Session-Based Communication Optimisation for Higher-Order Mobile Processes (DM, NY), pp. 203–218.
ECSAECSA-2008-BernardoB #algebra #architecture #process
Non-synchronous Communications in Process Algebraic Architectural Description Languages (MB, EB), pp. 3–18.
ECSAECSA-2008-MakkiBG #architecture #automation #multi #process #trade-off
Automating Architecture Trade-Off Decision Making through a Complex Multi-attribute Decision Process (MM, EB, AAG), pp. 264–272.
ECSAECSA-2008-MosserBR #behaviour #evolution #process #web #web service
Web Services Orchestrations Evolution: A Merge Process for Behavioral Evolution (SM, MBF, MR), pp. 35–49.
ECSAECSA-2008-PintoF #aspect-oriented #modelling #process #quality #towards
Towards a Software Process for Aspect-Oriented Modeling of Quality Attributes (MP, LF), pp. 334–337.
WICSAWICSA-2008-SanzACM #architecture #development #modelling #process
Defining Service-Oriented Software Architecture Models for a MDA-based Development Process at the PIM level (MLS, CJA, CEC, EM), pp. 309–312.
ASEASE-2008-LiHG #code generation #interface #modelling #multi #named #process
MaramaEML: An Integrated Multi-View Business Process Modelling Environment with Tree-Overlays, Zoomable Interfaces and Code Generation (RLL, JGH, JCG), pp. 477–478.
ASEASE-2008-LuciaOT #comparison #empirical #incremental #process #traceability
IR-Based Traceability Recovery Processes: An Empirical Comparison of “One-Shot” and Incremental Processes (ADL, RO, GT), pp. 39–48.
ASEASE-2008-MartinhoVD #approach #flexibility #modelling #process
A Two-Step Approach for Modelling Flexibility in Software Processes (RM, JV, DD), pp. 427–430.
CASECASE-2008-BiasiSLI #network #process #simulation
Simulation of process control with WirelessHART networks subject to packet losses (MDB, CS, KL, AJI), pp. 548–553.
CASECASE-2008-ChenFL #automation #bibliography #industrial #perspective #process
Automated industrial robot path planning for spray painting process: A review (HC, TAF, XL), pp. 522–527.
CASECASE-2008-DangWLZ #algorithm #image
Heavy-ion radiotherapy treatment planning system and medical image processing algorithm used in it (JD, YW, SL, ZZ), pp. 726–731.
CASECASE-2008-Holzmuller-LaueRNKAGTS #automation #flexibility #framework #integration #mobile #process
Flexible R&D integration platform of process informatics for automated medical applications and mobile data acquisition (SHL, KR, SN, SK, DA, BG, KT, RS), pp. 621–626.
CASECASE-2008-HuangK #analysis #assembly #design #evaluation #multi #process
Process capability sensitivity analysis for design evaluation of multi station assembly systems (WH, ZK), pp. 400–405.
CASECASE-2008-LeeCAP #automation #image #library #process
Matching book-spine images for library shelf-reading process automation (DJL, YC, JKA, CP), pp. 738–743.
CASECASE-2008-LiQ #scheduling
ACO-based scheduling for a single Batch Processing Machine in semiconductor manufacturing (LL, FQ), pp. 85–90.
CASECASE-2008-MatsumotoUOI #analysis #empirical #process
Business process analysis to obtain empirical lot sizing rule in printing process (SM, NU, KO, HI), pp. 591–596.
CASECASE-2008-Park #development #process #self
A development of self-clinching standoff by reverse drawing process technology (SbP), pp. 888–893.
CASECASE-2008-QianZ #pipes and filters #predict #process
Optimal model predictive control of plasma pipe welding process (KQ, YZ), pp. 492–497.
CASECASE-2008-SchroederMT #health #identification #monitoring #process
A Factory Health Monitor: System identification, process monitoring, and control (KS, JRM, DMT), pp. 16–22.
CASECASE-2008-WangZFZXL #process #scheduling
A web-based integrated process planning and scheduling system (YW, YZ, JYHF, ZZ, LX, PL), pp. 662–667.
CASECASE-2008-WasonGWMHM #modelling #process
Model-based control of a high-temperature crystal growth process (JDW, WG, JTW, KM, JH, SM), pp. 486–491.
DACDAC-2008-BalkanQV #hybrid #network #parallel
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing (AOB, GQ, UV), pp. 435–440.
DACDAC-2008-GorjiaraG #architecture #automation #refinement
Automatic architecture refinement techniques for customizing processing elements (BG, DG), pp. 379–384.
DACDAC-2008-GulatiK #fault #simulation #towards #using
Towards acceleration of fault simulation using graphics processing units (KG, SPK), pp. 822–827.
DACDAC-2008-KulkarniKPR #array #process
Process variation tolerant SRAM array for ultra low voltage applications (JPK, KK, SPP, KR), pp. 108–113.
DACDAC-2008-SenNSC #adaptation #named #power management #process
Pro-VIZOR: process tunable virtually zero margin low power adaptive RF for wireless systems (SS, VN, RS, AC), pp. 492–497.
DACDAC-2008-TehHT #framework #integration
Design-process integration for performance-based OPC framework (SHT, CHH, AT), pp. 522–527.
DATEDATE-2008-BonnotLEGRG #approach #architecture #implementation #multi
Definition and SIMD Implementation of a Multi-Processing Architecture Approach on FPGA (PB, FL, GE, GG, OR, PG), pp. 610–615.
DATEDATE-2008-KM #design #process #queue
Process Variation Aware Issue Queue Design (RK, MM), pp. 1438–1443.
DATEDATE-2008-RealCCDV #analysis #hardware
Defeating classical Hardware Countermeasures: a new processing for Side Channel Analysis (DR, CC, JC, MD, FV), pp. 1274–1279.
DATEDATE-2008-SahaSPBW #framework #implementation #message passing #parallel
An Optimized Message Passing Framework for Parallel Implementation of Signal Processing Applications (SS, JS, SP, SSB, WW), pp. 1220–1225.
DATEDATE-2008-Schat #clustering #fault #process
Fault Clustering in deep-submicron CMOS Processes (JS), pp. 511–514.
DATEDATE-2008-StefanoBBM #design #multi #pipes and filters #process
Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (BS, DB, LB, EM), pp. 967–972.
DATEDATE-2008-StrikGW #concurrent #design #process
Subsystem Exchange in a Concurrent Design Process Environment (MS, AG, PW), pp. 953–958.
DATEDATE-2008-WeinbergerBB #design #modelling #petri net #process #verification #workflow
Application of Workflow Petri Nets to Modeling of Formal Verification Processes in Design Flow of Digital Integrated Circuits (KW, SB, RB), pp. 937–938.
DATEDATE-2008-WolfH #requirements #video
Video Processing Requirements on SoC Infrastructures (PvdW, TH), pp. 1124–1125.
DATEDATE-2008-ZhangYWYJX #correlation #performance #process #statistics
An Efficient Method for Chip-Level Statistical Capacitance Extraction Considering Process Variations with Spatial Correlation (WZ, WY, ZW, ZY, RJ, JX), pp. 580–585.
DocEngDocEng-2008-BoyerDKLSST #documentation #process
An office document mashup for document-centric business processes (JMB, ED, MK, JSHL, MRS, HFS, ST), pp. 100–101.
DRRDRR-2008-ZantSV #documentation #image #parallel #scalability
Large scale parallel document image processing (TvdZ, LS, EV), p. 68150.
HTHT-2008-Kleinberg #data flow #process #social
Link structures, information flow, and social processes (JMK), pp. 3–4.
SIGMODSIGMOD-2008-GedikAWYD #declarative #named
SPADE: the system s declarative stream processing engine (BG, HA, KLW, PSY, MD), pp. 1123–1134.
SIGMODSIGMOD-2008-HwangCCZ #monitoring #named
Borealis-R: a replication-transparent stream processing system for wide-area monitoring applications (JHH, SC, , SBZ), pp. 1303–1306.
SIGMODSIGMOD-2008-LeeC #performance #query #using
Efficient storage scheme and query processing for supply chain management using RFID (CHL, CWC), pp. 291–302.
SIGMODSIGMOD-2008-OlstonRSKT
Pig latin: a not-so-foreign language for data processing (CO, BR, US, RK, AT), pp. 1099–1110.
SIGMODSIGMOD-2008-VlachouDNV #distributed #on the #performance #query
On efficient top-k query processing in highly distributed environments (AV, CD, KN, MV), pp. 753–764.
SIGMODSIGMOD-2008-ZhangB #distributed #named #xquery
XRPC: distributed XQuery and update processing with heterogeneous XQuery engines (YZ, PAB), pp. 1331–1336.
VLDBVLDB-2008-ChaikenJLRSWZ #named #parallel #performance #set
SCOPE: easy and efficient parallel processing of massive data sets (RC, BJ, PÅL, BR, DS, SW, JZ), pp. 1265–1276.
VLDBVLDB-2008-GeZ #approach #query
A skip-list approach for efficiently processing forecasting queries (TG, SBZ), pp. 984–995.
VLDBVLDB-2008-GuoARSSV #performance
Efficient top-k processing over query-dependent functions (LG, SAY, RR, JS, US, EV), pp. 1044–1055.
VLDBVLDB-2008-KallmanKNPRZJMSZHA #distributed #in memory #memory management #named #transaction
H-store: a high-performance, distributed main memory transaction processing system (RK, HK, JN, AP, AR, SBZ, EPCJ, SM, MS, YZ, JH, DJA), pp. 1496–1499.
VLDBVLDB-2008-KwonBG #distributed #fault tolerance #file system #using
Fault-tolerant stream processing using a distributed, replicated file system (YK, MB, AGG), pp. 574–585.
VLDBVLDB-2008-LeeZ #query
Closing the query processing loop in Oracle 11g (AWL, MZ), pp. 1368–1378.
VLDBVLDB-2008-LiTSPJM #architecture
Out-of-order processing: a new architecture for high-performance stream systems (JL, KT, VS, VP, TJ, DM), pp. 274–288.
VLDBVLDB-2008-LogothetisY #ad hoc #in the cloud
Ad-hoc data processing in the cloud (DL, KY), pp. 1472–1475.
VLDBVLDB-2008-MiloD #distributed #monitoring #process #query
Querying and monitoring distributed business processes (TM, DD), pp. 1512–1515.
VLDBVLDB-2008-NezhadBSCA #process
Process spaceship: discovering and exploring process views from event logs in data spaces (HRMN, BB, RSP, FC, PA), pp. 1412–1415.
VLDBVLDB-2008-WangLLG #documentation #query #xml
Hash-base subgraph query processing method for graph-structured XML documents (HW, JL, JL, HG), pp. 478–489.
VLDBVLDB-2008-YehWYC #distributed #named #query
LeeWave: level-wise distribution of wavelet coefficients for processing kNN queries over distributed streams (MYY, KLW, PSY, MSC), pp. 586–597.
CSEETCSEET-2008-Epstein #agile #how #process #student
Getting Students to Think About How Agile Processes can be Made More Secure (RGE), pp. 51–58.
CSEETCSEET-2008-Epstein08a #process #re-engineering #security
A Software Engineering Course with an Emphasis on Software Processes and Security (RGE), pp. 67–73.
CSEETCSEET-2008-Honig #education #exclamation #generative #process #quality #re-engineering
Teaching Successful “Real-World” Software Engineering to the “Net” Generation: Process and Quality Win! (WLH), pp. 25–32.
ITiCSEITiCSE-2008-Leach #analysis #process
Analysis of ABET accreditation as a software process (RJL), p. 356.
ITiCSEITiCSE-2008-SierraCF #learning
An environment for supporting active learning in courses on language processing (JLS, AMFPC, AFV), pp. 128–132.
FASEFASE-2008-AalstDGHRM #modelling #process
Correctness-Preserving Configuration of Business Process Models (WMPvdA, MD, FG, AHMtH, MLR, JM), pp. 46–61.
FASEFASE-2008-BocchiGR #modelling #process
Engineering Service Oriented Applications: From StPowla Processes to SRML Models (LB, SG, SRM), pp. 163–178.
FoSSaCSFoSSaCS-2008-KlinS #calculus #probability #process #semantics
Structural Operational Semantics for Stochastic Process Calculi (BK, VS), pp. 428–442.
ICPCICPC-2008-Quante #comprehension #empirical #graph #process
Do Dynamic Object Process Graphs Support Program Understanding? — A Controlled Experiment (JQ), pp. 73–82.
ICSMEICSM-2008-FloresU #assessment #component #process #testing
Testing-based assessment process for upgrading component systems (AF, MPU), pp. 327–336.
ICSMEICSM-2008-Kienle #component #development #process #reverse engineering #tool support #towards
Building reverse engineering tools with software components: Towards a dedicated development process for academia (HMK), pp. 416–419.
ICSMEICSM-2008-Li #process
An integrated solution for software process improvement (ML), pp. 472–473.
ICSMEICSM-2008-Li08a #evolution #process #research
Research on software evolution processes in Asia (TL), pp. 478–479.
ICSMEICSM-2008-XiaoWLYZX #constraints #development #maintenance #process #scheduling
A constraint-driven human resource scheduling method in software development and maintenance process (JX, QW, ML, YY, FZ, LX), pp. 17–26.
WCREWCRE-2008-GuoZ08a #process
A Business Process Explorer: Recovering Business Processes from Business Applications (JG, YZ), pp. 333–334.
WCREWCRE-2008-KienleM #maintenance #process #tool support #towards
Towards a Process for Developing Maintenance Tools in Academia (HMK, HAM), pp. 237–246.
ICALPICALP-B-2008-BergerHY #abstraction #logic #mobile #process
Completeness and Logical Full Abstraction in Modal Logics for Typed Mobile Processes (MB, KH, NY), pp. 99–111.
ICALPICALP-B-2008-BrazdilFK #branch #markov #process #synthesis #verification
Controller Synthesis and Verification for Markov Decision Processes with Qualitative Branching Time Objectives (TB, VF, AK), pp. 148–159.
SEFMSEFM-2008-GhassemiFM #process #strict
Restricted Broadcast Process Theory (FG, WF, AM), pp. 345–354.
SEFMSEFM-2008-LaneseGMZ
Bridging the Gap between Interaction- and Process-Oriented Choreographies (IL, CG, FM, GZ), pp. 323–332.
SFMSFM-2008-CiocchettaH #algebra #biology #process
Process Algebras in Systems Biology (FC, JH), pp. 265–312.
SFMSFM-2008-VersariG #calculus #implementation #process
pi@: A pi-Based Process Calculus for the Implementation of Compartmentalised Bio-inspired Calculi (CV, RG), pp. 449–506.
IFLIFL-2008-WangG #ad hoc #haskell #library
A Library for Processing Ad hoc Data in Haskell — Embedding a Data Description Language (YW, VG), pp. 174–191.
ICGTICGT-2008-BaldanCEK #composition #nondeterminism #petri net #process
Open Petri Nets: Non-deterministic Processes and Compositionality (PB, AC, HE, BK), pp. 257–273.
ICGTICGT-2008-Hermann #analysis #process #workflow
Process Construction and Analysis for Workflows Modelled by Adhesive HLR Systems with Application Conditions (FH), pp. 496–498.
CHICHI-2008-ConvertinoMRCSG #process
Articulating common ground in cooperative work: content and process (GC, HMM, MBR, JMC, AS, CHG), pp. 1637–1646.
CHICHI-2008-SchmettowV #process #usability
Introducing item response theory for measuring usability inspection processes (MS, WV), pp. 893–902.
CHICHI-2008-ShenoyT #image
Human-aided computing: utilizing implicit human processing to classify images (PS, DST), pp. 845–854.
CHICHI-2008-ShrinivasanW #process #reasoning #visualisation
Supporting the analytical reasoning process in information visualization (YBS, JJvW), pp. 1237–1246.
AdaSIGAda-2008-McCormick #ada #named #parallel #realtime
MA1: Ada for real-time and parallel processing (JWM), pp. 5–6.
CAiSECAiSE-2008-DongenDM #modelling #process #similarity
Measuring Similarity between Business Process Models (BFvD, RMD, JM), pp. 450–464.
CAiSECAiSE-2008-KumaranLW #modelling #on the #process
On the Duality of Information-Centric and Activity-Centric Models of Business Processes (SK, RL, FYW), pp. 32–47.
CAiSECAiSE-2008-MuehlenR #how #modelling #process
How Much Language Is Enough? Theoretical and Practical Use of the Business Process Modeling Notation (MzM, JR), pp. 465–479.
CAiSECAiSE-2008-MullerRH #adaptation #data-driven #paradigm #process
A New Paradigm for the Enactment and Dynamic Adaptation of Data-Driven Process Structures (DM, MR, JH), pp. 48–63.
CAiSECAiSE-2008-SofferKW #modelling #ontology #petri net #process #semantics
Assigning Ontology-Based Semantics to Process Models: The Case of Petri Nets (PS, MK, YW), pp. 16–31.
CAiSECAiSE-2008-SpiessNWMB #analysis #modelling #performance #process #simulation #ubiquitous
Modelling, Simulation, and Performance Analysis of Business Processes Involving Ubiquitous Systems (PS, DKN, IW, IM, MB), pp. 579–582.
CAiSECAiSE-2008-VanderfeestenRMAC #metric #modelling #on the #process
On a Quest for Good Process Models: The Cross-Connectivity Metric (ITPV, HAR, JM, WMPvdA, JC), pp. 480–494.
CAiSECAiSE-2008-VaraSP #analysis #information management #modelling #process #requirements
Business Process Modelling and Purpose Analysis for Requirements Analysis of Information Systems (JLdlV, JS, OP), pp. 213–227.
CAiSECAiSE-2008-WeberR #modelling #process #refactoring #repository #scalability
Refactoring Process Models in Large Process Repositories (BW, MR), pp. 124–139.
EDOCEDOC-2008-AwadPW #modelling #process #query #semantics
Semantic Querying of Business Process Models (AA, AP, MW), pp. 85–94.
EDOCEDOC-2008-EijndhovenIP #flexibility #process
Achieving Business Process Flexibility with Business Rules (TvE, MEI, MLP), pp. 95–104.
EDOCEDOC-2008-MarkovicK #modelling #process #semantics
Linking Business Goals to Process Models in Semantic Business Process Modeling (IM, MK), pp. 332–338.
EDOCEDOC-2008-MeierL #implementation
Implementation of a Process-Based SOA at a Publishing Company (IM, ML).
EDOCEDOC-2008-PolyvyanyySW #abstraction #approach #process
Process Model Abstraction: A Slider Approach (AP, SS, MW), pp. 325–331.
EDOCEDOC-2008-StoitsevS #architecture #process
An Architecture for End-User Driven Business Process Management (TS, SS), pp. 53–62.
EDOCEDOC-2008-TosicK #industrial #process
Improving Business Processes in the Australian Lending Industry (VT, UK).
EDOCEDOC-2008-UngerLMS #process
Aggregation of Service Level Agreements in the Context of Business Processes (TU, FL, SM, TS), pp. 43–52.
ICEISICEIS-AIDSS-2008-BezerraW #algorithm #detection #process
Anomaly Detection Algorithms in Business Process Logs (FdLB, JW), pp. 11–18.
ICEISICEIS-AIDSS-2008-BrissonC #data mining #mining #ontology #process
An Ontology Driven Data Mining Process (LB, MC), pp. 54–61.
ICEISICEIS-AIDSS-2008-FolinoGGP #modelling #multi #process
Discovering Multi-Perspective Process Models (FF, GG, AG, LP), pp. 70–77.
ICEISICEIS-AIDSS-2008-IngvaldsenG #mining #process
EVS Process Miner: Incorporating Ideas from Search, ETL into Process Mining (JEI, JAG), pp. 340–347.
ICEISICEIS-DISI-2008-BohmWHL #generative #integration #modelling #optimisation #process
Model-Driven Generation and Optimization of Complex Integration Processes (MB, UW, DH, WL), pp. 131–136.
ICEISICEIS-DISI-2008-BohmWHL08a #integration #process
Message Indexing for Document-Oriented Integration Processes (MB, UW, DH, WL), pp. 137–142.
ICEISICEIS-DISI-2008-StoitsevSFM #architecture #composition #process
Architecture for End User-Driven Composition of Underspecified, Human-Centric Business Processes (TS, SS, FF, MM), pp. 165–172.
ICEISICEIS-DISI-2008-WiesnerMM #design #integration #process #semantics
Semantic Data Integration for Process Engineering Design Data (AW, JM, WM), pp. 190–195.
ICEISICEIS-HCI-2008-EscalonaTGMTB #development #information management #process #web
A Development Process for Web Geographic Information System — A Case of Study (MJEC, AHTZ, JJG, EM, RdST, MCCB), pp. 112–117.
ICEISICEIS-HCI-2008-FlochCP #interactive #modelling #process
Cognitive Modeling of Interactions During a Negotiation Process (CF, NC, AP), pp. 221–226.
ICEISICEIS-HCI-2008-StoitsevSFM08a #modelling #process #programming
Enabling end Users to Proactively Tailor Underspecified, Human-Centric Business Processes — “Programming by Example” of Weakly-Structured Process Models (TS, SS, FF, MM), pp. 38–46.
ICEISICEIS-ISAS1-2008-BeckerAP #approach #process #source code
An Approach to Support the Strategic Alignment of Software Process Improvement Programs (ALB, JLNA, RP), pp. 66–73.
ICEISICEIS-ISAS1-2008-PereiraS #equivalence #modelling #process
Business Process Modelling through Equivalence of Activity Properties (CMP, PMAS), pp. 137–146.
ICEISICEIS-ISAS1-2008-Tarkkanen #design #modelling #process
Designing Business Process Models for Required Uniformity of Work (KT), pp. 21–29.
ICEISICEIS-ISAS1-2008-ThomRCI #modelling #process
Applying Activity Patterns for Developing an Intelligent Process Modeling Tool (LHT, MR, CMC, CI), pp. 112–119.
ICEISICEIS-ISAS1-2008-WuH #development #empirical #process #requirements
A Process for Determining User Requirements in eCRM Development — A Strategic Aspect and Empirical Examination (ILW, CYH), pp. 5–12.
ICEISICEIS-ISAS2-2008-BoukhebouzeAB #analysis #framework #modelling #named #process
BP-FAMA: Business Process Framework for Agility of Modelling and Analysis (MB, YA, ANB), pp. 368–373.
ICEISICEIS-ISAS2-2008-BreemenS #concept #on the #process
On Conceptualization as a Systematic Process (AJJvB, JJS), pp. 37–42.
ICEISICEIS-ISAS2-2008-BrockeMR #approach #modelling #process #towards
Towards a Value-Oriented Approach to Business Process Modelling (JvB, JM, JR), pp. 380–395.
ICEISICEIS-ISAS2-2008-BrockeSC #analysis #framework #process #re-engineering #specification #towards
ECM Systems Analysis and Specification — Towards a Framework for Business Process Re-Engineering (JvB, AS, AC), pp. 345–350.
ICEISICEIS-ISAS2-2008-CuzzocreaGS #information management #modelling
A Process-Driven Methodology for Continuous Information Systems Modeling (AC, AG, DS), pp. 82–88.
ICEISICEIS-ISAS2-2008-FranciscoMMMP #modelling #process #semantics
Methodological Extensions for Semantic Business Process Modeling (DdFM, IM, JM, HM, NP), pp. 410–415.
ICEISICEIS-ISAS2-2008-GinerAP #process #ubiquitous
Physical-Virtual Connection in Ubiquitous Business Processes (PG, MA, VP), pp. 266–271.
ICEISICEIS-ISAS2-2008-HallerbachBR #lifecycle #process
Managing Process Variants in the Process Life Cycle (AH, TB, MR), pp. 154–161.
ICEISICEIS-ISAS2-2008-HammoudiAL #architecture #automation #process #towards
Towards a Semi-Automatic Transformation Process in MDA — Architecture and Methodology (SH, WA, DL), pp. 416–425.
ICEISICEIS-ISAS2-2008-LopesA #development #distributed #lessons learnt #process #requirements
A Requirements Engineering Process Model for Distributed Software Development — Lessons Learned (LTL, JLNA), pp. 117–122.
ICEISICEIS-ISAS2-2008-MacielRG #online #process
Measuring the e-Participation in Decision-Making Processes through Online Surveys (CM, LR, ACBG), pp. 426–434.
ICEISICEIS-ISAS2-2008-MahmodSL #process #similarity
Similarity Matching of Business Process Variants (NMM, SWS, RL), pp. 234–239.
ICEISICEIS-ISAS2-2008-Nikovski #concurrent #mining #process #representation #workflow
Workflow Trees for Representation and Mining of Implicitly Concurrent Business Processes (DN), pp. 30–36.
ICEISICEIS-ISAS2-2008-PivetaMPAGP #process #ranking #refactoring #using
Ranking Refactoring Patterns Using the Analytical Hierarchy Process (EKP, AMDM, MSP, JA, PG, RTP), pp. 195–200.
ICEISICEIS-ISAS2-2008-Prpitsch #process #using
From Process to Software Systems’ Service — Using a Layered Model to Connect Technical and Process-related Views (CP), pp. 298–304.
ICEISICEIS-ISAS2-2008-RauberR #architecture #manycore #modelling #parallel #workflow
Models for Parallel Workflow Processing on Multi-Core Architectures (TR, GR), pp. 220–227.
ICEISICEIS-J-2008-BrissonC08a #data mining #how #mining #process #question #semantics
How to Semantically Enhance a Data Mining Process? (LB, MC), pp. 103–116.
ICEISICEIS-J-2008-FolinoGGP08a #modelling #multi #process
Discovering Multi-perspective Process Models: The Case of Loosely-Structured Processes (FF, GG, AG, LP), pp. 130–143.
ICEISICEIS-J-2008-StoitsevSFM08b #modelling #process #programming
Enabling End Users to Proactively Tailor Underspecified, Human-Centric Business Processes: “Programming by Example” of Weakly-Structured Process Models (TS, SS, FF, MM), pp. 307–320.
ICEISICEIS-J-2008-Tarkkanen08a #modelling #process
Business Process Modeling for Non-uniform Work (KT), pp. 188–200.
ICEISICEIS-SAIC-2008-DoshiP #network #process
Trusted Information Processes in B2B Networks (CD, LP), pp. 37–44.
CIKMCIKM-2008-BohmWHL #integration #optimisation #process
Workload-based optimization of integration processes (MB, UW, DH, WL), pp. 1479–1480.
CIKMCIKM-2008-ChungLC #performance #probability #query
Efficient processing of probabilistic spatio-temporal range queries over moving objects (BSEC, WCL, ALPC), pp. 1399–1400.
CIKMCIKM-2008-HsuC08a #matrix #order #performance #web
Efficient web matrix processing based on dual reordering (CMH, MSC), pp. 1389–1390.
CIKMCIKM-2008-LiangCY #energy #maintenance #network #query
Energy-efficient skyline query processing and maintenance in sensor networks (WL, BC, JXY), pp. 1471–1472.
CIKMCIKM-2008-LiuLNBMG #dataset #feature model #performance #preprocessor #realtime #scalability
Real-time data pre-processing technique for efficient feature extraction in large scale datasets (YL, LVL, RSN, KB, PM, CLG), pp. 981–990.
CIKMCIKM-2008-MaYLK #mining #network #process #social #using
Mining social networks using heat diffusion processes for marketing candidates selection (HM, HY, MRL, IK), pp. 233–242.
CIKMCIKM-2008-MihailaSL #incremental
Anomaly-free incremental output in stream processing (GAM, IS, CAL), pp. 359–368.
CIKMCIKM-2008-RazenteBTFT #approach #data access #metric #novel #optimisation #process #query #similarity
A novel optimization approach to efficiently process aggregate similarity queries in metric access methods (HLR, MCNB, AJMT, CF, CTJ), pp. 193–202.
CIKMCIKM-2008-SongZG #classification #framework #performance #process
A sparse gaussian processes classification framework for fast tag suggestions (YS, LZ, CLG), pp. 93–102.
CIKMCIKM-2008-ZhuSYW #process #query #question
Can phrase indexing help to process non-phrase queries? (MZ, SS, NY, JRW), pp. 679–688.
ECIRECIR-2008-AltingovdeCU #performance #query #strict #web
Efficient Processing of Category-Restricted Queries for Web Directories (ISA, FC, ÖU), pp. 695–699.
ICMLICML-2008-AdamsS #modelling #parametricity #process
Gaussian process product models for nonparametric nonstationarity (RPA, OS), pp. 1–8.
ICMLICML-2008-AnWSWCD #analysis #image #kernel #multi #process
Hierarchical kernel stick-breaking process for multi-task image analysis (QA, CW, IS, EW, LC, DBD), pp. 17–24.
ICMLICML-2008-CollobertW #architecture #learning #multi #natural language #network
A unified architecture for natural language processing: deep neural networks with multitask learning (RC, JW), pp. 160–167.
ICMLICML-2008-CunninghamSS #estimation #performance #process
Fast Gaussian process methods for point process intensity estimation (JPC, KVS, MS), pp. 192–199.
ICMLICML-2008-Landwehr #modelling #process
Modeling interleaved hidden processes (NL), pp. 520–527.
ICMLICML-2008-NarayanamurthyR #markov #on the #process #symmetry
On the hardness of finding symmetries in Markov decision processes (SMN, BR), pp. 688–695.
ICMLICML-2008-QiLDC #multi #process
Multi-task compressive sensing with Dirichlet process priors (YQ, DL, DBD, LC), pp. 768–775.
ICMLICML-2008-RenDC #process
The dynamic hierarchical Dirichlet process (LR, DBD, LC), pp. 824–831.
ICMLICML-2008-WalderKS #multi #process
Sparse multiscale gaussian process regression (CW, KIK, BS), pp. 1112–1119.
ICPRICPR-2008-GaoCY #modelling #process
Manifold denoising with Gaussian Process Latent Variable Models (YG, KLC, WYY), pp. 1–4.
ICPRICPR-2008-Kopylov #image #programming
Tree-serial dynamic programming for image processing (AK), pp. 1–4.
ICPRICPR-2008-MaillardLD #detection #image
Detection of digital processing of images through a realistic model of CCD noise (JBM, DL, FD), pp. 1–4.
ICPRICPR-2008-MinOS #symmetry
Asymmetric post-processing for stereo correspondence (DBM, JO, KS), pp. 1–4.
ICPRICPR-2008-ZareG #detection #process #using
Endmember detection using the Dirichlet process (AZ, PDG), pp. 1–4.
ICPRICPR-2008-ZhouWS #classification #process #recognition #using
Human motion recognition using Gaussian Processes classification (HZ, LW, DS), pp. 1–4.
KDDKDD-2008-ChavesBB #named #process #reliability
Tagmark: reliable estimations of RFID tags for business processes (LWFC, EB, KB), pp. 999–1007.
RecSysRecSys-2008-Sampaio #internet #network #performance #process #recommendation
A network performance recommendation process for advanced internet applications users (LNS), pp. 315–318.
SEKESEKE-2008-AlmeidaAGLFM #domain model #process
A Systematic Process for Domain Engineering (ESdA, , VCG, DL, RPdMF, SRdLM), pp. 655–660.
SEKESEKE-2008-BaratK #approach #enterprise #integration #modelling #process #tool support
A Model-driven Toolset to Support an Approach for Analyzing Integration of Business Process Aspect of Enterprise Application Integration (SB, VK), pp. 625–630.
SEKESEKE-2008-CavalcantiFMCM #deployment #information management #process
Knowledge Management to Support the Deployment of a CMMI Level 3 Process (APCC, FF, VM, RC, SRdLM), pp. 309–314.
SEKESEKE-2008-DaiXWLL #execution #process
Dynamically Optimize Process Execution Based on Process-agent (JD, JX, QW, ML, HL), pp. 561–564.
SEKESEKE-2008-FontouraP #approach #process #risk management
Systematic Approach to Risk Management in Software Projects through Process Tailoring (LMF, RTP), pp. 179–184.
SEKESEKE-2008-HerrLSTW #automation #process
Combining SOA and BPM Technologies for Cross-System Process Automation (SH, KL, JS, GKT, GW), pp. 339–344.
SEKESEKE-2008-HeWTG #process #reuse
A Systematic Method for Process Tailoring Based on Knowledge Reuse (XyH, YsW, YxT, JgG), pp. 38–41.
SEKESEKE-2008-JanesSS #identification #process
Non-invasive Software Process Data Collection for Expert Identification (AJ, AS, GS), pp. 191–196.
SEKESEKE-2008-LiuLW #component #development #process #product line
Improving Component Container Development Process through Product Line Engineering (GL, YL, JW), pp. 238–243.
SEKESEKE-2008-MuhlenfeldMMS #execution #modelling #ontology #process #using
Ontology-based Process Modeling and Execution Using STEP/EXPRESS (AM, WM, FM, MS), pp. 935–940.
SEKESEKE-2008-PereiraBO #process
Process tailoring based on well-formedness rules (EBP, RMB, TCdO), pp. 185–190.
SEKESEKE-2008-Rodriguez-EliasMLV #process
Analyzing Manufacturing Process Knowledge Flows with KoFI (OMRE, ALM, JIL, AV), pp. 428–433.
SEKESEKE-2008-SalvianoF #concept #modelling #process
Unified Basic Concepts for Process Capability Models (CFS, AMCMF), pp. 173–178.
SEKESEKE-2008-SamimiM #composition #distributed #named
Dynamis: Dynamic Overlay Service Composition for Distributed Stream Processing (FAS, PKM), pp. 881–886.
SEKESEKE-2008-ScheithauerW #case study #process
Case Study: Applying Business Process Management Systems (GS, GW), pp. 12–15.
SEKESEKE-2008-ScheithauerWT #documentation #execution #process #semantic gap
Bridging the Semantic Gap Between Process Documentation and Process Execution (GS, GW, CT), pp. 549–554.
SEKESEKE-2008-SchonbergerW #consistency #integration #process #requirements #taxonomy
Taxonomy on Consistency Requirements in the Business Process Integration Context (AS, GW), pp. 593–598.
SEKESEKE-2008-Tagliati #architecture #component
Component Based Architectures for eXtreme Transacion Processing (LVT), pp. 679–684.
SIGIRSIGIR-2008-AltingovdeDCU #query
Site-based dynamic pruning for query processing in search engines (ISA, ED, FC, ÖU), pp. 861–862.
SIGIRSIGIR-2008-Arapakis #feedback #process
Affective feedback: an investigation into the role of emotions in the information seeking process (IA), p. 891.
SIGIRSIGIR-2008-ArapakisJG #feedback #process
Affective feedback: an investigation into the role of emotions in the information seeking process (IA, JMJ, PDG), pp. 395–402.
SIGIRSIGIR-2008-GuiverS #learning #process #rank
Learning to rank with SoftRank and Gaussian processes (JG, ES), pp. 259–266.
ECMFAECMDA-FA-2008-HolmesTZD #approach #aspect-oriented #modelling #process
Modeling Human Aspects of Business Processes — A View-Based, Model-Driven Approach (TH, HT, UZ, SD), pp. 246–261.
ICMTICMT-2008-AmstelBPV #algebra #modelling #process #question #semantic gap #state machine #uml
Transforming Process Algebra Models into UML State Machines: Bridging a Semantic Gap? (MvA, MvdB, ZP, TV), pp. 61–75.
OOPSLAOOPSLA-2008-BouilletFLRR #approach #composition #design #information management
A tag-based approach for the design and composition of information processing applications (EB, MF, ZL, AR, AR), pp. 585–602.
RERE-2008-Castro-HerreraDCM #data mining #elicitation #mining #process #recommendation #requirements #scalability #using
Using Data Mining and Recommender Systems to Facilitate Large-Scale, Open, and Inclusive Requirements Elicitation Processes (CCH, CD, JCH, BM), pp. 165–168.
RERE-2008-NakataniHUKH #case study #elicitation #process #requirements
A Case Study: Requirements Elicitation Processes throughout a Project (TN, SH, NU, KK, MH), pp. 241–246.
RERE-2008-RecioSPM #collaboration #process #requirements
Leveraging Collaborative Technologies in the IO Requirements Process (RR, CS, JP, CM), pp. 283–288.
RERE-2008-ThewS #process
Investigating the Role of “Soft Issues” in the RE Process (ST, AGS), pp. 63–66.
REFSQREFSQ-2008-BendjennaZC #distributed #elicitation #process
Enhancing Elicitation Technique Selection Process in a Cooperative Distributed Environment (HB, NZ, PJC), pp. 23–36.
REFSQREFSQ-2008-BrinkkemperWSV #approach #process #requirements
Process Improvement in Requirements Management: A Method Engineering Approach (SB, IvdW, MS, JV), pp. 6–22.
SACSAC-2008-AdaikkalavanC #exclamation
Events must be complete in event processing! (RA, SC), pp. 1038–1039.
SACSAC-2008-AlvesVSM #experience #information management #process #requirements
Requirements engineering process improvement: a knowledge transfer experience (CFA, GV, TS, JM), pp. 619–623.
SACSAC-2008-AraujoSF #algebra #analysis #process
HIV drug resistance analysis tool based on process algebra (LVdA, ECS, JEF), pp. 1358–1363.
SACSAC-2008-BezerraW #algorithm #detection #process
Anomaly detection algorithms in logs of process aware systems (FdLB, JW), pp. 951–952.
SACSAC-2008-BraghettoFP #algebra #execution #process #using
Using process algebra to control the execution of business processes (KRB, JEF, CP), pp. 128–129.
SACSAC-2008-DaltioMGL #framework #process #query
A framework to process complex biodiversity queries (JD, CBM, LGJ, TML), pp. 2293–2297.
SACSAC-2008-DelessyF #process #security
A pattern-driven security process for SOA applications (NAD, EBF), pp. 2226–2227.
SACSAC-2008-DoringPE #e-commerce #query
Advanced preference query processing for e-commerce (SD, TP, ME), pp. 1457–1462.
SACSAC-2008-GennaroMOR #network #peer-to-peer #query #similarity
Processing complex similarity queries in peer-to-peer networks (CG, MM, SO, FR), pp. 473–478.
SACSAC-2008-HojjatMS #algebra #evaluation #framework #functional #performance #probability #process #verification
A framework for performance evaluation and functional verification in stochastic process algebras (HH, MRM, MS), pp. 339–346.
SACSAC-2008-HolandaBF #adaptation #self #transaction
Introducing self-adaptability into transaction processing (MH, AB, SF), pp. 992–997.
SACSAC-2008-IsikmanYOOGA #adaptation #fuzzy #multi #query #web
Adaptive weighted multi-criteria fuzzy query processing for web based real estate applications (ÖÖI, BGY, , , HG, RA), pp. 987–991.
SACSAC-2008-LeoneS #clustering #network #process #scalability
Interacting urns processes: for clustering of large-scale networks of tiny artifacts (PL, EMS), pp. 2046–2051.
SACSAC-2008-MattosLFM #clustering #documentation #framework #named
BigBatch: a document processing platform for clusters and grids (GdOM, RDL, AdAF, FMJM), pp. 434–441.
SACSAC-2008-Mevius #modelling #novel #process
A novel modeling language for tool-based business process engineering (MvM), pp. 590–591.
SACSAC-2008-MonneratCC #development #enterprise #modelling #process
Enterprise systems modeling: the ERP5 development process (RMM, RAdC, RdC), pp. 1062–1068.
SACSAC-2008-MorimotoO #metric #using #video
Eyelid measurements using digital video processing (CHM, TFdO), pp. 1369–1373.
SACSAC-2008-ReitbauerKEMA #network #process
Redesigning business networks: reference process, network and service map (SR, FK, CE, KM, RA), pp. 540–547.
SACSAC-2008-RossiT #execution #modelling #named #process
EPML: an executable process modeling language for process-aware applications (DR, ET), pp. 132–133.
SACSAC-2008-RossiT08a #architecture #design #web
Designing and architecting process-aware Web applications with EPML (DR, ET), pp. 2409–2414.
SACSAC-2008-SousaFVRV #approach #modelling #process #user interface
User interface derivation from business processes: a model-driven approach for organizational engineering (KSS, HMF, JV, ER, JV), pp. 553–560.
SACSAC-2008-YuiMUK #distributed #named #parallel #using #xquery
XBird/D: distributed and parallel XQuery processing using remote proxy (MY, JM, SU, HK), pp. 1003–1007.
FSEFSE-2008-OsterweilCEPWBH #dataset #experience #process #using #workflow
Experience in using a process language to define scientific workflow and generate dataset provenance (LJO, LAC, AME, RMP, AEW, ERB, JLH), pp. 319–329.
ICSEICSE-2008-ChenAHCOH #process
Analyzing medical processes (BC, GSA, EAH, LAC, LJO, PLH), pp. 623–632.
ICSEICSE-2008-GuoFBZ #e-commerce #process #visualisation
A business process explorer: recovering and visualizing e-commerce business processes (JG, KCF, LB, YZ), pp. 871–874.
ICSEICSE-2008-MockusW #process #quality
Interval quality: relating customer-perceived quality to process quality (AM, DMW), pp. 723–732.
ICSEICSE-2008-SiebraCSS #concept #network #process #testing
Improving the handsets network test process via DMAIC concepts (CdS, PHRC, ALMS, FQBdS), pp. 733–740.
ATEMATEM-J-2006-LohmannRW #aspect-oriented #prolog
Aspect-oriented prolog in a language processing context (WL, GR, GW), pp. 241–259.
SLESLE-2008-SanchezLFG #process #product line #specification
Engineering Languages for Specifying Product-Derivation Processes in Software Product Lines (PS, NL, LF, AG), pp. 188–207.
SPLCSPLC-2008-GimenesFT #process #product line
A Product Line for Business Process Management (IMdSG, MF, MBFdT), pp. 265–274.
HPDCHPDC-2008-Al-KiswanyGSYR #distributed #named
StoreGPU: exploiting graphics processing units to accelerate distributed storage systems (SAK, AG, ESN, GY, MR), pp. 165–174.
LCTESLCTES-2008-CohenK #named #regular expression
EventScript: an event-processing language based on regular expressions with actions (NHC, KTK), pp. 111–120.
PPoPPPPoPP-2008-Cameron #case study #parallel
A case study in SIMD text processing with parallel bit streams: UTF-8 to UTF-16 transcoding (RDC), pp. 91–98.
CAVCAV-2008-KobayashiS #hybrid #mobile #process #type system
A Hybrid Type System for Lock-Freedom of Mobile Processes (NK, DS), pp. 80–93.
LICSLICS-2008-Beffara #algebra #calculus #process
An Algebraic Process Calculus (EB), pp. 130–141.
LICSLICS-2008-LanesePSS #calculus #decidability #higher-order #on the #process
On the Expressiveness and Decidability of Higher-Order Process Calculi (IL, JAP, DS, AS), pp. 145–155.
ICSTSAT-2008-HerasL #clique #preprocessor #satisfiability
A Max-SAT Inference-Based Pre-processing for Max-Clique (FH, JL), pp. 139–152.
FATESTestCom-FATES-2008-DinSP #design #implementation #multi #performance #process
Performance Test Design Process and Its Implementation Patterns for Multi-services Systems (GD, IS, RP), pp. 135–152.
ECSAECSA-2007-GruhnS #information management #mobile #process
From Mobile Business Processes to Mobile Information Systems (VG, CS), pp. 296–299.
ECSAECSA-2007-Martinez-PrietoCF #architecture #xml
Aqueducts : A Layered Pipeline-Based Architecture for XML Processing (MAMP, CEC, PdlF), pp. 313–316.
ECSAECSA-2007-NavaCD #architecture #design #process #tool support
Processes for Creating and Exploiting Architectural Design Decisions with Tool Support (FN, RC, JCD), pp. 321–324.
QoSAQoSA-2007-MaderPR #process #traceability
Customizing Traceability Links for the Unified Process (PM, IP, MR), pp. 53–71.
QoSAQoSA-2007-PoortPKW #architecture #process
The Influence of CMMI on Establishing an Architecting Process (ERP, HP, AK, PHNdW), pp. 215–230.
WICSAWICSA-2007-BalasubramaniamMGW #architecture #development #flexibility #process
Flexible Software Development: From Software Architecture to Process (DB, RM, RMG, BW), p. 14.
ASEASE-2007-KiyavitskayaZBACMM #process #towards
Extracting rights and obligations from regulations: toward a tool-supported process (NK, NZ, TDB, AIA, JRC, LM, JM), pp. 429–432.
ASEASE-2007-MateescuPS #adaptation #algebra #behaviour #component #composition #encoding #process
Behavioral adaptation of component compositions based on process algebra encodings (RM, PP, GS), pp. 385–388.
CASECASE-2007-AlenljungSLA #detection #fault #implementation #process
PLC-based Implementation of Process Observation and Fault Detection for Discrete Event Systems (TA, MS, BL, ), pp. 207–212.
CASECASE-2007-MarefatP #automation #modelling #process #retrieval #reuse #similarity
Similarity-Based Retrieval of CAD Solid Models for Automated Reuse of Machining Process Plans (MMM, CP), pp. 312–317.
CASECASE-2007-NarasimhaKS #approach #email #information management #markov #process
A Semi Markov Decision Process Approach To E-mail Management In A Knowledge Work Environment (CYN, MK, RS), pp. 1051–1056.
CASECASE-2007-NaZW #identification #process
Discrete-Model Identification for Nonlinear Laser Welding Process (XN, YZ, BW), pp. 1002–1007.
CASECASE-2007-PiS #process
Resource-Task Assignment Process with Rejections and Reassignments (LP, LS), pp. 448–453.
CASECASE-2007-ReggianiZF #framework #process
A Software Framework for Process Control in the Agroindustrial Sector (MR, MZ, PF), pp. 164–169.
CASECASE-2007-SaeediKEMP #automation #process #self
Automation and yield of micron-scale self-assembly processes (ES, SSK, JRE, DRM, BAP), pp. 375–380.
CASECASE-2007-ShiomiSOOYKI #2d #problem #process
The Solution of 2-Dimensional Rectangular Cutting Stock Problem Considering Cutting Process (YS, MS, JO, TO, MY, HK, KI), pp. 140–145.
CASECASE-2007-VanijjirattikhanKCS #biology #modelling #petri net #process #simulation
Timed Petri Net Modeling and Simulation of a High-Throughput Biological Screening Process (RV, DK, MYC, NS), pp. 442–447.
CASECASE-2007-YipLL #process
Forecasting Final/Class Yield Based on Fabrication Process E-Test and Sort Data (WKY, KGL, WJL), pp. 478–483.
DACDAC-2007-AgarwalN #process
Characterizing Process Variation in Nanometer CMOS (KA, SRN), pp. 396–399.
DACDAC-2007-BauerSKH #framework #named #set
RISPP: Rotating Instruction Set Processing Platform (LB, MS, SK, JH), pp. 791–796.
DACDAC-2007-BhattacharyaRS #process
Language Extensions to SystemC: Process Control Constructs (BB, JR, SS), pp. 35–38.
DACDAC-2007-ChenZ #performance #process
Fast Min-Cost Buffer Insertion under Process Variations (RC, HZ), pp. 338–343.
DACDAC-2007-LiuS #predict #process #scalability #statistics
Confidence Scalable Post-Silicon Statistical Delay Prediction under Process Variations (QL, SSS), pp. 497–502.
DACDAC-2007-LucasHE #library #named #realtime
FlexWAFE — A High-end Real-Time Stream Processing Library for FPGAs (AdCL, SH, RE), pp. 916–921.
DACDAC-2007-MaoW #embedded #hardware
Hardware Support for Secure Processing in Embedded Systems (SM, TW), pp. 483–488.
DACDAC-2007-YuDFL #analysis #framework #nondeterminism #process #statistics
A Framework for Accounting for Process Model Uncertainty in Statistical Static Timing Analysis (GY, WD, ZF, PL), pp. 829–834.
DATEDATE-2007-BanerjeeKR #architecture #power management #process
Process variation tolerant low power DCT architecture (NB, GK, KR), pp. 630–635.
DATEDATE-2007-ClausZMS #configuration management #hardware #using #video
Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system (CC, JZ, FHM, WS), pp. 498–503.
DATEDATE-2007-FerrignoPSL #design #identification #process
Identification of process/design issues during 0.18 µm technology qualification for space application (JF, PP, KS, DL), pp. 989–993.
DATEDATE-2007-FietheMDOZ #configuration management
Reconfigurable system-on-chip data processing units for space imaging instruments (BF, HM, CD, BO, GZ), pp. 977–982.
DATEDATE-2007-GargM #analysis #design #interactive #multi #process #throughput
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs (SG, DM), pp. 403–408.
DATEDATE-2007-HumenayTS #manycore #performance #process #symmetry
Impact of process variations on multicore performance symmetry (EH, DT, KS), pp. 1653–1658.
DATEDATE-2007-HwangCR #interactive #process #scalability
Interactive presentation: Process tolerant beta-ratio modulation for ultra-dynamic voltage scaling (MEH, TC, KR), pp. 1550–1555.
DATEDATE-2007-MeijerKTK #interactive #network #process
Interactive presentation: A process splitting transformation for Kahn process networks (SM, BK, AT, EAdK), pp. 1355–1360.
DATEDATE-2007-MutyamV #process
Working with process variation aware caches (MM, NV), pp. 1152–1157.
DATEDATE-2007-NaguibG #process #simulation
Speeding up SystemC simulation through process splitting (YNN, RSG), pp. 111–116.
DATEDATE-2007-SchamannHLB #algorithm #architecture #case study #design #power management
Low power design on algorithmic and architectural level: a case study of an HSDPA baseband digital signal processing system (MS, SH, UL, MB), pp. 1406–1411.
DATEDATE-2007-Soderquist #architecture
Event driven data processing architecture (IS), pp. 972–976.
DATEDATE-2007-ZhangOSFKB #analysis #approach #named #parametricity #process
CMCal: an accurate analytical approach for the analysis of process variations with non-gaussian parameters and nonlinear functions (MZ, MO, DS, MF, HK, EB), pp. 243–248.
DATEDATE-2007-ZhuZCXZ #grid #probability #process
A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology (HZ, XZ, WC, JX, DZ), pp. 1514–1519.
DATEDATE-2007-ZjajoAG #interactive #monitoring #parametricity #process
Interactive presentation: BIST method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits (AZ, MJBA, JPdG), pp. 1301–1306.
HTHT-2007-CanosSPL #design #process
Strong vs. weak links: making processes prevail over structure in navigational design (JHC, CS, MdCP, ML), pp. 139–140.
ICDARICDAR-2007-Hirata #documentation
Document Processing via Trained Morphological Operators (NSTH), pp. 794–798.
ICDARICDAR-2007-NamboodiriNJ #on the #using
On Using Classical Poetry Structure for Indian Language Post-Processing (AMN, PJN, CVJ), pp. 1238–1242.
PODSPODS-2007-Schweikardt #bound #modelling #query
Machine models and lower bounds for query processing (NS), pp. 41–52.
PODSPODS-2007-WhiteRGD #question #what
What is “next” in event processing? (WMW, MR, JG, AJD), pp. 263–272.
SIGMODSIGMOD-2007-BeeriEMP #monitoring #process
Query-based monitoring of BPEL business processes (CB, AE, TM, AP), pp. 1122–1124.
SIGMODSIGMOD-2007-BrennaDGHOPRTW #named
Cayuga: a high-performance event processing engine (LB, AJD, JG, MH, JO, BP, MR, MT, WMW), pp. 1100–1102.
SIGMODSIGMOD-2007-ChandyG #database #using
Event processing using database technology (KMC, DG), pp. 1169–1170.
SIGMODSIGMOD-2007-ChengKNL #database #graph #named #query #towards
Fg-index: towards verification-free query processing on graph databases (JC, YK, WN, AL), pp. 857–872.
SIGMODSIGMOD-2007-FangHLYGLS #named #query #using
GPUQP: query co-processing using graphics processors (RF, BH, ML, KY, NKG, QL, PVS), pp. 1061–1063.
SIGMODSIGMOD-2007-HongDGKRW #multi
Massively multi-query join processing in publish/subscribe systems (MH, AJD, JG, CK, MR, WMW), pp. 761–772.
SIGMODSIGMOD-2007-JermaineAPD #approximate #query #scalability
Scalable approximate query processing with the DBO engine (CMJ, SA, AP, AD), pp. 725–736.
SIGMODSIGMOD-2007-NicolaKS #benchmark #metric #transaction #xml
An XML transaction processing benchmark (MN, IK, BS), pp. 937–948.
SIGMODSIGMOD-2007-QiCS #consistency #data flow #named #nondeterminism #query
FICSR: feedback-based inconsistency resolution and query processing on misaligned data sources (YQ, KSC, MLS), pp. 151–162.
SIGMODSIGMOD-2007-VardeRS #data mining #mining #named #optimisation #process #visual notation
AutoDomainMine: a graphical data mining system for process optimization (ASV, EAR, RDSJ), pp. 1103–1105.
SIGMODSIGMOD-2007-WuSR #keyword #towards
Towards keyword-driven analytical processing (PW, YS, BR), pp. 617–628.
SIGMODSIGMOD-2007-XuJ #adaptation #constraints
Adaptive location constraint processing (ZX, HAJ), pp. 581–592.
SIGMODSIGMOD-2007-YangDHP #clustering #named #relational #scalability
Map-reduce-merge: simplified relational data processing on large clusters (HcY, AD, RLH, DSPJ), pp. 1029–1040.
SIGMODSIGMOD-2007-ZhouLFL #performance #query
Efficient exploitation of similar subexpressions for query processing (JZ, PÅL, JCF, WL), pp. 533–544.
VLDBVLDB-2007-BeeriPME #monitoring #process #query
Monitoring Business Processes with Queries (CB, AE, TM, AP), pp. 603–614.
VLDBVLDB-2007-CasatiCDS #process
A Generic solution for Warehousing Business Process Data (FC, MC, UD, NS), pp. 1128–1137.
VLDBVLDB-2007-DuanB #query
Processing Forecasting Queries (SD, SB), pp. 711–722.
VLDBVLDB-2007-GibasZF #framework #modelling #optimisation #query
A General Framework for Modeling and Processing Optimization Queries (MG, NZ, HF), pp. 1069–1080.
VLDBVLDB-2007-IvesDR #adaptation #how #query #question #what #why
Adaptive query processing: Why, How, When, and What Next? (ZGI, AD, VR), pp. 1426–1427.
VLDBVLDB-2007-TatbulCZ #distributed #performance
Staying FIT: Efficient Load Shedding Techniques for Distributed Stream Processing (NT, , SBZ), pp. 159–170.
VLDBVLDB-2007-VrhovnikSSMMMK #approach #process
An Approach to Optimize Data Processing in Business Processes (MV, HS, OS, BM, VM, AM, TK), pp. 615–626.
VLDBVLDB-2007-WolfKCFCK #database #query
Query Processing over Incomplete Autonomous Databases (GW, HK, BC, JF, YC, SK), pp. 651–662.
VLDBVLDB-2007-XuJ #algorithm #query #random
Randomized Algorithms for Data Reconciliation in Wide Area Aggregate Query Processing (FX, CJ), pp. 639–650.
VLDBVLDB-2007-YiuM #multi #performance #query
Efficient Processing of Top-k Dominating Queries on Multi-Dimensional Data (MLY, NM), pp. 483–494.
CSEETCSEET-2007-RoutS #maintenance #process #student
Maintaining High Process Capability in a Student Project Course (TPR, JS), pp. 37–44.
CSEETCSEET-2007-TaranR #case study #comparative #education #people #perspective #process #re-engineering
Software Engineering Education in Russia: A Comparative Study of People, Process and Technology a Four Year Perspective (GT, MRL), pp. 19–28.
ITiCSEITiCSE-2007-OmwegaTUW #automation #process
Automation of PE recruiting process (EO, JT, MU, CW), p. 350.
TACASTACAS-2007-BouajjaniJS #framework #infinity #network #process #reasoning
A Generic Framework for Reasoning About Dynamic Networks of Infinite-State Processes (AB, YJ, MS), pp. 690–705.
TACASTACAS-2007-EtessamiKVY #markov #model checking #multi #process
Multi-objective Model Checking of Markov Decision Processes (KE, MZK, MYV, MY), pp. 50–65.
CSMRCSMR-2007-PolletDPACV #architecture #re-engineering #taxonomy #towards
Towards A Process-Oriented Software Architecture Reconstruction Taxonomy (DP, SD, LP, IA, SC, HV), pp. 137–148.
CSMRCSMR-2007-Quante #graph #online #process
Online Construction of Dynamic Object Process Graphs (JQ), pp. 113–122.
CSMRCSMR-2007-Quante07a #comprehension #graph #process #protocol
Dynamic Object Process Graph Extraction for Program Understanding and Protocol Recovery (JQ), pp. 345–348.
ICSMEICSM-2007-Meng #comprehension #maintenance #ontology #process
An Ontological Process Model for Software Maintenance and Comprehension (WJM), pp. 515–516.
SCAMSCAM-2007-RodenVEM #agile #empirical #metric #modelling #process #quality #using
An Empirical Study of the Relationship of Stability Metrics and the QMOOD Quality Models Over Software Developed Using Highly Iterative or Agile Software Processes (PLR, SV, LHE, SLM), pp. 171–179.
WCREWCRE-2007-Guzman #legacy #named #process #towards #web #web service
PRESSWEB: A Process to Reengineer Legacy Systems towards Web Services (IGRdG), pp. 285–288.
WCREWCRE-2007-SinhaRK #model inference #parametricity #process
Parametric Process Model Inference (SS, GR, RK), pp. 21–30.
LATALATA-2007-PoudretCGAM #biology #geometry #modelling #process
Topology-based Geometric Modelling for Biological Cellular Processes (MP, JPC, PLG, AA, PM), pp. 497–508.
IFMIFM-2007-Chen #process
Inheriting Laws for Processes with States (YC), pp. 138–155.
IFMIFM-2007-MeinickeS #development #process #realtime #reasoning #reliability
A Stepwise Development Process for Reasoning About the Reliability of Real-Time Systems (LM, GS), pp. 439–458.
SFMSFM-2007-ClarkGHT #algebra #probability #process
Stochastic Process Algebras (AC, SG, JH, MT), pp. 132–179.
LISPILC-2007-Sperber #all about #lessons learnt #process
It’s all about being right: lessons from the R6RS process (MS), p. 7.
AGTIVEAGTIVE-2007-EngelsGSW #consistency #contract #modelling #process #using #visual notation #web #web service
Assuring Consistency of Business Process Models and Web Services Using Visual Contracts (GE, BG, CS, HW), pp. 17–31.
GT-VMTGT-VMT-2007-BisztrayH #csp #process #using #verification
Rule-Level Verification of Business Process Transformations using CSP (DB, RH).
CHICHI-2007-BirnholtzGMB #case study #process #scalability #using
An exploratory study of input configuration and group process in a negotiation task using a large display (JPB, TG, CM, RB), pp. 91–100.
CHICHI-2007-LittleLCLHK #named #personalisation #process #web
Koala: capture, share, automate, personalize business processes on the web (GL, TAL, AC, JL, EMH, EK), pp. 943–946.
HCIDHM-2007-DionysiouSM #biology #image #multi #simulation
Simulating Cancer Radiotherapy on a Multi-level Basis: Biology, Oncology and Image Processing (DDD, GSS, KM), pp. 569–575.
HCIDHM-2007-WanlissLUW #modelling #process
Fractal Modeling of Human Psychomotor Skills Acquisition Process (JW, DL, VU, MW), pp. 474–482.
HCIDHM-2007-WertherMR #analysis #petri net #process #simulation
Colored Petri Net Based Formal Airport Control Model for Simulation and Analysis of Airport Control Processes (BW, CM, MR), pp. 1027–1036.
HCIHCI-AS-2007-CarusiM #education #interactive #learning #process
An Essay About the Relevance of Educational Interactive Systems in the Learning Process (AC, CRM), pp. 183–189.
HCIHCI-AS-2007-HayakawaUSAK #design #developer #identification #process #web
Report on Project to Identify Excellent Local Government Web Sites An Attempt to Educate Web Systems Developers on the Importance of Human-Centered Design Processes (SH, HU, TS, NA, YK), pp. 899–905.
HCIHCI-AS-2007-LaarniNK #collaboration #interface #process
Affordance Table — A Collaborative Smart Interface for Process Control (JL, LN, HMKK), pp. 611–619.
HCIHCI-AS-2007-LinKTKT #design #development #process #verification #visual notation
Verification of Development of Scenarios Method and Visual Formats for Design Process (HL, MK, HT, HK, TT), pp. 1095–1101.
HCIHCI-AS-2007-MorimotoMT #process #reuse
Perspectives on Reuse Process Support Systems for Document-Type Knowledge (YM, HM, HT), pp. 682–691.
HCIHCI-AS-2007-TanW #workflow
Process-Oriented User Support for Workflow Applications (DT, HW), pp. 752–761.
HCIHCI-IDU-2007-DomingoHM #comprehension #game studies #process
A Game to Promote Understanding About UCD Methods and Process (MGD, MAH, EM), pp. 446–452.
HCIHCI-IDU-2007-ItohHTK #design #process
A New User-Centered Design Process for Creating New Value and Future (YI, YH, HT, MK), pp. 108–116.
HCIHCI-IDU-2007-LiangJZW #design #modelling #process
A Meta-cognition Modeling of Engineering Product Designer in the Process of Product Design (JL, ZJ, YSZ, JW), pp. 146–155.
HCIHCI-IDU-2007-NebeZ #aspect-oriented #design #process #re-engineering
Aspects of Integrating User Centered Design into Software Engineering Processes (KN, DZ), pp. 194–203.
HCIHCI-IDU-2007-VogiazouFL #design #process
The Use of Improvisational Role-Play in User Centered Design Processes (YV, JF, JL), pp. 262–272.
HCIHCI-IDU-2007-WoletzL #process
Enable the Organization for UCD Through Specialist and Process Counseling (NW, SL), pp. 985–990.
HCIHCI-IDU-2007-YoonY #design #development #metric #process #user interface
Development of Quantitative Metrics to Support UI Designer Decision-Making in the Design Process (YSY, WCY), pp. 316–324.
HCIHCI-IPT-2007-WangGQ #interface #recognition #sketching
Freehand Sketching Interfaces: Early Processing for Sketch Recognition (SxW, MTG, LhQ), pp. 161–170.
HCIHCI-IPT-2007-ZamanV #design #exclamation #process
Getting Lost? Touch and You Will Find! The User-Centered Design Process of a Touch Screen (BZ, RV), pp. 197–206.
HCIHCI-MIE-2007-Alexandris #human-computer #quote #semantics #using
“Show and Tell”: Using Semantically Processable Prosodic Markers for Spatial Expressions in an HCI System for Consumer Complaints (CA), pp. 13–22.
HCIHIMI-IIE-2007-AllenJAL #framework
A Framework for Text Processing and Supporting Access to Collections of Digitized Historical Newspapers (RBA, AJ, PA, KJL), pp. 235–244.
HCIHIMI-IIE-2007-DavcevAIK #human-computer #image
HCI for m-Learning in Image Processing by Handhelds (DD, MA, DI, AK), pp. 299–308.
HCIHIMI-IIE-2007-KochHLP #agile #mobile #precise
Rapid and Precise Mobile Data Processing for Fire Brigades and Rescue Services (SAFeR/GÜTER/SHARE) (RK, RH, BSL, JP), pp. 1050–1059.
HCIHIMI-IIE-2007-KomischkeH #concept #industrial #process #user interface
Coping with Information Input Overload: User Interface Concepts for Industrial Process Control (TK, LEH), pp. 918–928.
HCIHIMI-IIE-2007-MyojinNKN #human-computer #interactive #process #prototype #type system
Friendly Process of Human-Computer Interaction — A Prototype System in Nostalgic World (SM, MN, HK, SN), pp. 102–109.
HCIHIMI-IIE-2007-RheeCB #approach #performance #process
A More Comprehensive Approach to Enhancing Business Process Efficiency (SHR, NWC, HB), pp. 955–964.
HCIHIMI-IIE-2007-YuasaA #information management #interface #process
Production Information Management Interface for Operators in Production Process (TY, YA), pp. 994–1003.
HCIHIMI-MTT-2007-SakataH #information management
KANSEI Information Processing of Human Body Movement (MS, KH), pp. 930–939.
HCIHIMI-MTT-2007-TsengTW #implementation #process
Implementing the HCD Method into the Developing Process of a CPD System (KCT, THT, KCW), pp. 199–207.
HCIHIMI-MTT-2007-ZhuSC #approach #process #quality #visualisation
Integrating Data Quality Data into Decision-Making Process: An Information Visualization Approach (BZ, GS, YC), pp. 366–369.
VISSOFTVISSOFT-2007-RillingMCC #perspective #process #visualisation
Software Visualization — A Process Perspective (JR, WJM, FC, PC), pp. 10–17.
AdaEuropeAdaEurope-2007-PanunzioV #analysis #metamodelling #modelling #process
A Metamodel-Driven Process Featuring Advanced Model-Based Timing Analysis (MP, TV), pp. 128–141.
AdaSIGAda-2007-McCormick #ada #named #parallel #realtime
MA1: real-time and parallel processing in ada (JWM), p. 7.
AdaSIGAda-2007-PukiteL #design #logic #simulation #using
Generic discrete event simulations using DEGAS: application to logic design and digital signal processing (PP, LL), pp. 27–40.
CAiSECAiSE-2007-AldredADH #abstraction #communication #distributed #process
Communication Abstractions for Distributed Business Processes (LA, WMPvdA, MD, AHMtH), pp. 409–423.
CAiSECAiSE-2007-BaresiGP #aspect-oriented #policy #process
Policies and Aspects for the Supervision of BPEL Processes (LB, SG, PP), pp. 340–354.
CAiSECAiSE-2007-DeckerW #behaviour #consistency #integration #process
Behavioral Consistency for B2B Process Integration (GD, MW), pp. 81–95.
CAiSECAiSE-2007-LinS #modelling #process #semantics
Goal Annotation of Process Models for Semantic Enrichment of Process Knowledge (YL, AS), pp. 355–369.
CAiSECAiSE-2007-MutschlerRR #approach #information management #modelling
Analyzing the Dynamic Cost Factors of Process-Aware Information Systems: A Model-Based Approach (BM, MR, SR), pp. 589–603.
CAiSECAiSE-2007-RosaLSDH #modelling #process
Questionnaire-driven Configuration of Reference Process Models (MLR, JL, SS, MD, AHMtH), pp. 424–438.
CAiSECAiSE-2007-ValderasPP #design #development #process #web
Introducing Graphic Designers in a Web Development Process (PV, VP, OP), pp. 395–408.
CAiSECAiSE-2007-WeberRR #information management
Change Patterns and Change Support Features in Process-Aware Information Systems (BW, SR, MR), pp. 574–588.
EDOCEDOC-2007-DeckerGB #modelling #process #visual notation
A Graphical Notation for Modeling Complex Events in Business Processes (GD, AG, APB), pp. 27–36.
EDOCEDOC-2007-Dijkman #classification #difference
A Classification of Differences between Similar BusinessProcesses (RMD), pp. 37–50.
EDOCEDOC-2007-GramlBS #agile #process
Patterns of Business Rules to Enable Agile Business Processes (TG, RB, MS), pp. 365–378.
EDOCEDOC-2007-MendlingDA #modelling #process
Getting Rid of the OR-Join in Business Process Models (JM, BFvD, WMPvdA), pp. 3–14.
EDOCEDOC-2007-MilanovicKGGWDH #integration #process #using
Business Process Integration by Using General Rule Markup Language (MM, NK, DG, AG, GW, VD, MH), pp. 353–364.
EDOCEDOC-2007-PesicSA #named #process
DECLARE: Full Support for Loosely-Structured Processes (MP, HS, WMPvdA), pp. 287–300.
EDOCEDOC-2007-RosenbergEMPD #aspect-oriented #development #process #quality #top-down #using
Integrating Quality of Service Aspects in Top-Down Business Process Development Using WS-CDL and WS-BPEL (FR, CE, AM, CP, SD), pp. 15–26.
EDOCEDOC-2007-SpiesSS #process
Publishing of Interoperable Services and Processes in UDDI (MS, HS, KS), pp. 503–510.
EDOCEDOC-2007-TaoY #configuration management #development #process
Context Aware Differentiated Services Development with Configurable Business Processes (ATT, JY), pp. 241–252.
ICEISICEIS-AIDSS-2007-Aalst #analysis #mining #process #roadmap #verification
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-AIDSS-2007-Constantine #enterprise #information management #process
Enterprise information systems for use: From business processes to human activity (LLC), pp. 33–38.
ICEISICEIS-AIDSS-2007-RenoufCR #development #framework #image #information management
A Platform Dedicated to Knowledge Engineering for the Development of Image Processing Applications (AR, RC, MR), pp. 271–276.
ICEISICEIS-AIDSS-2007-ShethG #execution #middleware #non-functional #process #semantics #workflow
The 4×4 semantic model — exploiting data, functional, non-functional and execution semantics across business process, workflow, partner services and middleware services tiers (APS, KG), pp. 1–4.
ICEISICEIS-DISI-2007-Aalst #analysis #mining #process #roadmap #verification
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-DISI-2007-Constantine #enterprise #information management #process
Enterprise information systems for use: From business processes to human activity (LLC), pp. 33–38.
ICEISICEIS-DISI-2007-GorawskiG #approach #distributed #query
Distributed approach of continuous queries with knn join processing in spatial data warehouse (MG, WG), pp. 131–136.
ICEISICEIS-DISI-2007-GroppeGL #order #using
Using an index of precomputed joins in order to speed up SPARQL processing (SG, JG, VL), pp. 13–20.
ICEISICEIS-DISI-2007-Moller #concept #framework #process #research #towards
The conceptual framework for business process innovation: Towards a research program on global supply chain intelligence (CM), pp. 233–238.
ICEISICEIS-DISI-2007-OHaganSS #collaboration #composition #named #process
EVIE — An event brokering language for the composition of collaborative business processes (TO, SWS, WS), pp. 372–377.
ICEISICEIS-DISI-2007-PanHX #framework #information management #process
A framework for supporting knowledge work processes (WP, IH, DX), pp. 252–257.
ICEISICEIS-DISI-2007-ShethG #execution #middleware #non-functional #process #semantics #workflow
The 4×4 semantic model — exploiting data, functional, non-functional and execution semantics across business process, workflow, partner services and middleware services tiers (APS, KG), pp. 1–4.
ICEISICEIS-EIS-2007-Aalst #analysis #mining #process #roadmap #verification
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-EIS-2007-AmritH #detection #matrix #problem #process
Matrix Based Problem Detection in the Application of Software Process Patterns (CA, JvH), pp. 316–320.
ICEISICEIS-EIS-2007-ApelkransH #case study #enterprise #process
Enterprise Systems Configuration as an Information Logistics Process — A Study (MA, AH), pp. 212–220.
ICEISICEIS-EIS-2007-CombemaleGCTV #case study #process #towards #verification
Towards a Formal Verification of Process Model’s Properties SIMPLEPDL and TOCL Case Study (BC, PLG, XC, XT, FV), pp. 80–89.
ICEISICEIS-EIS-2007-Constantine #enterprise #information management #process
Enterprise information systems for use: From business processes to human activity (LLC), pp. 33–38.
ICEISICEIS-EIS-2007-Hawryszkiewycz #collaboration #generative #process
Generating Collaborative Work Processes (IH), pp. 320–328.
ICEISICEIS-EIS-2007-HuangZZ #analysis #approach #process #workflow
A New Approach for Workflow Process Delta Analysis Based on SYN-NET (XQH, WZ, SZ), pp. 480–488.
ICEISICEIS-EIS-2007-KorherrL #metric #performance #process
Extending the EPC and the BPMN with Business Process Goals and Performance Measures (BK, BL), pp. 287–294.
ICEISICEIS-EIS-2007-KornyshovaS #multi #process #re-engineering
Business Process Priorisation with Multicriteria Methods — Case of Business Process Reengineering (EK, CS), pp. 138–143.
ICEISICEIS-EIS-2007-LeutgebUWF #adaptation #process #semantics
Adaptive Processes in E-Government — A Field Report about Semantic-Based Approaches from the EU-Project “FIT” (AL, WU, RW, HGF), pp. 264–269.
ICEISICEIS-EIS-2007-LiHG #modelling #named #process #visual notation
EML: A Tree Overlay-Based Visual Language for Business Process Modelling (RLL, JGH, JCG), pp. 131–137.
ICEISICEIS-EIS-2007-LincolnKW #framework #ontology #process #standard
A Framework for Ontological Standardization of Business Process Content (ML, RK, AW), pp. 257–263.
ICEISICEIS-EIS-2007-LuS #architecture #process
A Reference Architecture for Managing Business Process Variants (RL, SWS), pp. 416–421.
ICEISICEIS-EIS-2007-MacielG #community #modelling #process #web
Modeling of a Democratic Citizenship Community to Facilitate the Consultative and Deliberative Process in the Web (CM, ACBG), pp. 387–394.
ICEISICEIS-EIS-2007-MurzekK #model transformation #process
Business Process Model Transformation Issues — The Top 7 Adversaries Encountered at Defining Model Transformations (MM, GK), pp. 144–151.
ICEISICEIS-EIS-2007-NetjesMRA #approach #process #towards
An Evolutionary Approach for Business Process Redesign — Towards an Intelligent System (MN, SLM, HAR, WMPvdA), pp. 47–54.
ICEISICEIS-EIS-2007-ShethG #execution #middleware #non-functional #process #semantics #workflow
The 4×4 semantic model — exploiting data, functional, non-functional and execution semantics across business process, workflow, partner services and middleware services tiers (APS, KG), pp. 1–4.
ICEISICEIS-EIS-2007-ThomLIM #modelling #process #reuse #tool support #workflow
Extending Business Process Modeling Tools with Workflow Pattern Reuse (LHT, JML, CI, JM), pp. 447–452.
ICEISICEIS-EIS-2007-ValenteS #case study #identification #process
Process Use Cases: Use Cases Identification (PV, PNMS), pp. 301–307.
ICEISICEIS-HCI-2007-Aalst #analysis #mining #process #roadmap #verification
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-HCI-2007-BabaianLT #approach #graph #process #visualisation
Visualizing the Process — A Graph-Based Approach to Enhancing System-User Knowledge Sharing (TB, WTL, HT), pp. 123–128.
ICEISICEIS-HCI-2007-Constantine #enterprise #information management #process
Enterprise information systems for use: From business processes to human activity (LLC), pp. 33–38.
ICEISICEIS-HCI-2007-NoortHB #information management
Unconscious Emotional Information Processing: Theoretical Consequences and Practical Applications (MvdN, KH, PB), pp. 207–214.
ICEISICEIS-HCI-2007-ShethG #execution #middleware #non-functional #process #semantics #workflow
The 4×4 semantic model — exploiting data, functional, non-functional and execution semantics across business process, workflow, partner services and middleware services tiers (APS, KG), pp. 1–4.
ICEISICEIS-J-2007-Aalst07a #analysis #challenge #process
Challenges in Business Process Analysis (WMPvdA), pp. 27–42.
ICEISICEIS-J-2007-Barjis07a #modelling #process #simulation #using
A Business Process Modeling and Simulation Method Using DEMO (JB), pp. 254–265.
ICEISICEIS-J-2007-CombemaleCGTV #approach #modelling #process #verification
A Property-Driven Approach to Formal Verification of Process Models (BC, XC, PLG, XT, FV), pp. 286–300.
ICEISICEIS-J-2007-NetjesMRA07a #approach #process
Performing Business Process Redesign with Best Practices: An Evolutionary Approach (MN, SLM, HAR, WMPvdA), pp. 199–211.
ICEISICEIS-J-2007-ShethG07a #execution #middleware #non-functional #process #semantics #workflow
The 4 x 4 Semantic Model: Exploiting Data, Functional, Non-functional and Execution Semantics Across Business Process, Workflow, Partner Services and Middleware Services Tiers (APS, KG), pp. 16–26.
ICEISICEIS-SAIC-2007-Aalst #analysis #mining #process #roadmap #verification
Trends in business process analysis — from verification to process mining (WMPvdA), pp. 5–9.
ICEISICEIS-SAIC-2007-ChenC #bottom-up #detection #difference #process
A Simulation-Based Difference Detection Technique for Bottom-Up Process Reconciliation (XC, PWHC), pp. 72–77.
ICEISICEIS-SAIC-2007-Constantine #enterprise #information management #process
Enterprise information systems for use: From business processes to human activity (LLC), pp. 33–38.
ICEISICEIS-SAIC-2007-KirikovaSGO #abstraction #analysis #flexibility #process
Analysis of Business Process Flexibility at Different Levels of Abstraction (MK, RS, JG, JO), pp. 389–396.
ICEISICEIS-SAIC-2007-ShethG #execution #middleware #non-functional #process #semantics #workflow
The 4×4 semantic model — exploiting data, functional, non-functional and execution semantics across business process, workflow, partner services and middleware services tiers (APS, KG), pp. 1–4.
ICEISICEIS-SAIC-2007-WuGRSM #automation #composition #process #semantics #using #web #web service
Automatic Composition of Semantic Web Services Using Process Mediation (ZW, KG, AR, APS, JAM), pp. 453–462.
ICEISICEIS-SAIC-2007-YangP #agile #process #user interface
Developing Agile User Interfaces for Heterogeneous Devices in Business Processes (YY, LP), pp. 179–184.
CIKMCIKM-2007-FuPL #network #optimisation #parallel #query
Optimizing parallel itineraries for knn query processing in wireless sensor networks (TYF, WCP, WCL), pp. 391–400.
CIKMCIKM-2007-InokuchiT #online
A method for online analytical processing of text data (AI, KT), pp. 455–464.
ECIRECIR-2007-BoyerB #natural language #web
Natural Language Processing for Usage Based Indexing of Web Resources (AB, AB), pp. 517–524.
ECIRECIR-2007-DalmauF #approach #clustering
Experimental Results of the Signal Processing Approach to Distributional Clustering of Terms on Reuters-21578 Collection (MCD, ÓWMF), pp. 678–681.
ECIRECIR-2007-ZhangZC #performance #scalability #using
Efficient Construction of FM-index Using Overlapping Block Processing for Large Scale Texts (DZ, YZ, JC), pp. 113–123.
ICMLICML-2007-DelageM #markov #nondeterminism #optimisation #performance #process
Percentile optimization in uncertain Markov decision processes with application to efficient exploration (ED, SM), pp. 225–232.
ICMLICML-2007-KerstingPPB #process
Most likely heteroscedastic Gaussian process regression (KK, CP, PP, WB), pp. 393–400.
ICMLICML-2007-KrauseG #approach #learning #process
Nonmyopic active learning of Gaussian processes: an exploration-exploitation approach (AK, CG), pp. 449–456.
ICMLICML-2007-LawrenceM #modelling #process
Hierarchical Gaussian process latent variable models (NDL, AJM), pp. 481–488.
ICMLICML-2007-NiCD #learning #multi #process
Multi-task learning for sequential data via iHMMs and the nested Dirichlet process (KN, LC, DBD), pp. 689–696.
ICMLICML-2007-UrtasunD #classification #process
Discriminative Gaussian process latent variable model for classification (RU, TD), pp. 927–934.
ICMLICML-2007-WangFH #modelling #multi #process
Multifactor Gaussian process models for style-content separation (JMW, DJF, AH), pp. 975–982.
ICMLICML-2007-XueDC #flexibility #learning #matrix #multi #process
The matrix stick-breaking process for flexible multi-task learning (YX, DBD, LC), pp. 1063–1070.
ICMLICML-2007-YuTY #learning #multi #robust
Robust multi-task learning with t-processes (SY, VT, KY), pp. 1103–1110.
KDDKDD-2007-CotofreiS #data mining #mining #probability #process
Stochastic processes and temporal data mining (PC, KS), pp. 183–190.
KDDKDD-2007-FastFMTJGK #detection #preprocessor #relational
Relational data pre-processing techniques for improved securities fraud detection (ASF, LF, MEM, BJT, DJ, HGG, JK), pp. 941–949.
KDDKDD-2007-Kleinberg #challenge #mining #network #privacy #process #social
Challenges in mining social network data: processes, privacy, and paradoxes (JMK), pp. 4–5.
SEKESEKE-2007-AnnoniRT #analysis #process #requirements
Data and Process Analyses of Data Warehouse Requirements (EA, FR, OT), pp. 191–196.
SEKESEKE-2007-BaresiMM #distributed #process
Distributed BPEL Processes (LB, AM, SM), pp. 692–697.
SEKESEKE-2007-Draheim #process #specification #towards
Towards Seamless Business Process and Dialogue Specification (DD), p. 402–?.
SEKESEKE-2007-FontouraP #framework #process
A Framework for Tailoring Software Process (LMF, RTP), pp. 63–66.
SEKESEKE-2007-HuangL #configuration management #process #repository
Analyzing Configuration Management Repository Data for Software Process Improvement (SH, CL), p. 67–?.
SEKESEKE-2007-MartinhoDV #flexibility #modelling #named #process #uml
FlexUML: A UML Profile for Flexible Process Modeling (RM, DD, JV), pp. 215–220.
SEKESEKE-2007-TranCT #modelling #process
Broadening the Use of Process Patterns for Modeling Processes (HNT, BC, DTBT), pp. 57–62.
SEKESEKE-2007-VilainFM #agile #framework #process
A Framework for Selecting Agile Practices and Defining Agile Software Processes (PV, PBF, TLM), pp. 25–28.
SEKESEKE-2007-Virgilio #information management #web
Processing Manipulations of Context Information on the Web (RDV), pp. 268–273.
SEKESEKE-2007-ViswanathS #constraints #database #query
Query Processing in Paraconsistent Databases in the Presence of Integrity Constraints (NV, RS), pp. 580–585.
ECMFAECMDA-FA-2007-ScheidgenF #semantics #specification
Human Comprehensible and Machine Processable Specifications of Operational Semantics (MS, JF), pp. 157–171.
MODELSMoDELS-2007-KonradGC #analysis #incremental #modelling #process
i2MAP : An Incremental and Iterative Modeling and Analysis Process (SK, HG, BHCC), pp. 451–466.
MODELSMoDELS-2007-ZhaoZHM #approach #e-commerce #generative #user interface
A Business-Process-Driven Approach for Generating E-Commerce User Interfaces (XZ, YZ, JH, BM), pp. 256–270.
MODELSMoDELS-2007-KonradGC #analysis #incremental #modelling #process
i2MAP : An Incremental and Iterative Modeling and Analysis Process (SK, HG, BHCC), pp. 451–466.
MODELSMoDELS-2007-ZhaoZHM #approach #e-commerce #generative #user interface
A Business-Process-Driven Approach for Generating E-Commerce User Interfaces (XZ, YZ, JH, BM), pp. 256–270.
LOPSTRLOPSTR-2007-BossiPR #algebra #process #refinement #security
Action Refinement in Process Algebra and Security Issues (AB, CP, SR), pp. 201–217.
LOPSTRLOPSTR-2007-Poernomo #communication #process #synthesis
Synthesis of Data Views for Communicating Processes (IP), pp. 185–200.
PADLPADL-2007-HuangHP #named #parallel #process #using
HPorter: Using Arrows to Compose Parallel Processes (LH, PH, JP), pp. 275–289.
RERE-2007-CappelliLO #concept #process
Exploring Business Process Transparency Concepts (CC, JCSdPL, AdPAO), pp. 389–390.
RERE-2007-Jacobson #process
Enough of RE Processes — Let’s Do Practices (IJ).
RERE-2007-KaragiannisMS
Business Process-Based Regulation Compliance: The Case of the Sarbanes-Oxley Act (DK, JM, MS), pp. 315–321.
RERE-2007-PanisP #process #requirements
Deploying a System-wide Requirements Process within a Commercial Engineering Organization (MCP, BP), pp. 295–300.
REFSQREFSQ-2007-BorgPS #capacity #process #requirements
Integrating an Improvement Model of Handling Capacity Requirements with the OpenUP/Basic Process (AB, MP, KS), pp. 341–354.
REFSQREFSQ-2007-LaukaitisV #development #information management #natural language
Integrating All Stages of Information Systems Development by Means of Natural Language Processing (AL, OV), pp. 218–231.
REFSQREFSQ-2007-Sindre #diagrams #process
Mal-Activity Diagrams for Capturing Attacks on Business Processes (GS), pp. 355–366.
SACSAC-2007-BonenfantCHMWW #cost analysis #towards
Towards resource-certified software: a formal cost model for time and its application to an image-processing example (AB, ZC, KH, GM, AMW, IW), pp. 1307–1314.
SACSAC-2007-BraghettoFP #control flow #process #specification #using
Using control-flow patterns for specifying business processes in cooperative environments (KRB, JEF, CP), pp. 1234–1241.
SACSAC-2007-BraynerM #energy #memory management
Balancing energy consumption and memory usage in sensor data processing (AB, RM), pp. 935–939.
SACSAC-2007-ChenTL
A priority assignment strategy of processing elements over an on-chip bus (YSC, SJT, SWL), pp. 1176–1180.
SACSAC-2007-DistanteRC #analysis #framework #modelling #process #web
Modeling business processes in web applications: an analysis framework (DD, GR, GC), pp. 1677–1682.
SACSAC-2007-HwangY #mining #ranking
Mining and processing category ranking (SwH, HY), pp. 441–442.
SACSAC-2007-KoschmiderO #detection #how #process #question #semantics
How to detect semantic business process model variants? (AK, AO), pp. 1263–1264.
SACSAC-2007-NeubauerS #performance #process
Extending business process management to determine efficient IT investments (TN, CS), pp. 1250–1256.
SACSAC-2007-PereraGB #low level #using #visualisation
Preattentive processing: using low-level vision psychology to encode information in visualisations (NP, AG, KB), pp. 1090–1091.
SACSAC-2007-YangP #process #towards #user interface
Towards supporting user interface agility in developing heterogeneous device enabled business processes (YY, LP), pp. 1691–1692.
ICSEICSE-2007-FerreiraSCMBBR #maturity #process
Applying ISO 9001: 2000, MPS.BR and CMMI to Achieve Software Process Maturity: BL Informatica’s Pathway (AIFF, GS, RC, MM, AB, AOSB, ARR), pp. 642–651.
ICSEICSE-2007-JensenS #case study #comparative #migration #process
Role Migration and Advancement Processes in OSSD Projects: A Comparative Case Study (CJ, WS), pp. 364–374.
ICSEICSE-2007-RunesonAN #detection #fault #natural language #using
Detection of Duplicate Defect Reports Using Natural Language Processing (PR, MA, ON), pp. 499–510.
ICSEICSE-2007-SrinivasanL #approach #education #process
A Constructivist Approach to Teaching Software Processes (JS, KL), pp. 664–672.
ICSEICSE-2007-Staron #education #evaluation #learning #process #re-engineering #student #using
Using Experiments in Software Engineering as an Auxiliary Tool for Teaching — A Qualitative Evaluation from the Perspective of Students’ Learning Process (MS), pp. 673–676.
SPLCSPLC-2007-SellierEU #enterprise #product line
Introducing Software Product Line Engineering for Metal Processing Lines in a Small to Medium Enterprise (DS, GBE, GU), pp. 54–62.
HPDCHPDC-2007-ShiraiST #parallel #performance
A fast topology inference: a building block for network-aware parallel processing (TS, HS, KT), pp. 11–22.
LCTESLCTES-2007-WangCZYZ #optimisation #performance
Optimizing software cache performance of packet processing applications (QW, JC, WZ, MY, BZ), pp. 227–236.
SOSPSOSP-2007-VandiverBLM #commit #fault #scheduling #transaction #using
Tolerating byzantine faults in transaction processing systems using commit barrier scheduling (BV, HB, BL, SM), pp. 59–72.
CAVCAV-2007-AbdullaDR #infinity #process #verification
Parameterized Verification of Infinite-State Processes with Global Conditions (PAA, GD, AR), pp. 145–157.
CAVCAV-2007-AlfaroR #abstraction #markov #process
Magnifying-Lens Abstraction for Markov Decision Processes (LdA, PR), pp. 325–338.
CAVCAV-2007-GaravelMLS #analysis #distributed #process
CADP 2006: A Toolbox for the Construction and Analysis of Distributed Processes (HG, RM, FL, WS), pp. 158–163.
LICSLICS-2007-DengGHMZ #finite #probability #process #testing
Characterising Testing Preorders for Finite Probabilistic Processes (YD, RJvG, MH, CM, CZ), pp. 313–325.
LICSLICS-2007-GimbertZ #markov #multi #process
Limits of Multi-Discounted Markov Decision Processes (HG, WZ), pp. 89–98.
TLCATLCA-2007-MostrousY #higher-order #mobile #process #type system
Two Session Typing Systems for Higher-Order Mobile Processes (DM, NY), pp. 321–335.
CBSECBSE-2006-BondarevCW #architecture #component #performance #process #trade-off
A Process for Resolving Performance Trade-Offs in Component-Based Architectures (EB, MRVC, PHNdW), pp. 254–269.
CBSECBSE-2006-CangussuCW #component #multi #process #using
Multi Criteria Selection of Components Using the Analytic Hierarchy Process (JWC, KMLC, WEW), pp. 67–81.
CBSECBSE-2006-KoziolekH #component #development #process
A QoS Driven Development Process Model for Component-Based Software Systems (HK, JH), pp. 336–343.
QoSAQoSA-2006-BucchiaroneMP #analysis #architecture #process
A Practical Architecture-Centric Analysis Process (AB, HM, PP), pp. 127–144.
CASECASE-2006-ChenLS #case study #process
Study on Active Process Control of Burr Formation in Al-Alloy Milling Process (MC, GL, ZS), pp. 431–436.
CASECASE-2006-DingYZA #clustering #evaluation #multi #nondeterminism #optimisation #performance #process #tool support
Performance Evaluation and Schedule Optimization of Multi-Cluster Tools with Process Times Uncertainty (SD, JY, MTZ, RAT), pp. 112–117.
CASECASE-2006-KrappeRS #challenge #flexibility #process
Challenges for Handling Flexibility in the Change Management Process of Manufacturing Systems (HK, SR, MS), pp. 551–557.
CASECASE-2006-ViswanadhamPG #exception #process
Decision Support System for Exception Management in RFID Enabled Airline Baggage Handling Process (NV, AP, RSG), pp. 351–356.
CASECASE-2006-XiaoX #modelling #monitoring #process
Monitoring of batch processes with non-linear modeling methods (YX, BX), pp. 140–143.
CASECASE-2006-XuLF #design #framework #process
Internet-based Platform for an Integrated Design of Product and Manufacturing Process for SMEs (LX, AL, BFB), pp. 314–318.
CASECASE-2006-Zhang #analysis #enterprise #modelling #process
Modeling and Analysis of Enterprise Processes (LZ), pp. 393–398.
DACDAC-2006-AmirtharajahWCSZ #energy
Circuits for energy harvesting sensor signal processing (RA, JW, JC, JS, BZ), pp. 639–644.
DACDAC-2006-AnanthanR #physics #process
A fully physical model for leakage distribution under process variations in Nanoscale double-gate CMOS (HA, KR), pp. 413–418.
DACDAC-2006-AroraRRSJC #architecture #mobile #multi #security
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC (DA, AR, SR, MS, NKJ, STC), pp. 496–501.
DACDAC-2006-BhardwajVGC #analysis #modelling #optimisation #process
Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits (SB, SBKV, PG, YC), pp. 791–796.
DACDAC-2006-HuebbersDI #parametricity #performance #process
Computation of accurate interconnect process parameter values for performance corners under process variations (FH, AD, YII), pp. 797–800.
DACDAC-2006-MacNeilS
Solution-processed infrared photovoltaic devices (DDM, EHS), pp. 636–638.
DACDAC-2006-NabaaAN #adaptation #architecture #process
An adaptive FPGA architecture with process variation compensation and reduced leakage (GN, NA, FNN), pp. 624–629.
DACDAC-2006-SovianiHE #pipes and filters #synthesis
Synthesis of high-performance packet processing pipelines (CS, IH, SAE), pp. 679–682.
DACDAC-2006-YuSP #modelling #process
Process variation aware OPC with variational lithography modeling (PY, SXS, DZP), pp. 785–790.
DATEDATE-2006-AlimondaACP #approach #energy #optimisation #pipes and filters #runtime
A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs (AA, AA, SC, AP), pp. 876–877.
DATEDATE-2006-BuhlerKBHSSPR #design #process
DFM/DFY design for manufacturability and yield — influence of process variations in digital, analog and mixed-signal circuit design (MB, JK, JB, JH, US, RS, MP, AR), pp. 387–392.
DATEDATE-2006-Harris #metric #process #validation
A coverage metric for the validation of interacting processes (IGH), pp. 1019–1024.
DATEDATE-2006-Heighton #design
Designing signal processing systems for FPGAs (JH), p. 92.
DATEDATE-2006-MoezE #distributed #process
A 10-GHz 15-dB four-stage distributed amplifier in 0.18 µm CMOS process (KKM, MIE), pp. 405–409.
DATEDATE-2006-NascimentoL #architecture #clustering #complexity #configuration management #image
Temporal partitioning for image processing based on time-space complexity in reconfigurable architectures (PSBdN, MEdL), pp. 375–380.
DATEDATE-2006-RongP #algorithm #formal method #markov #online #process
Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms (PR, MP), pp. 1128–1133.
DATEDATE-2006-ThornbergO #memory management #realtime #specification #video
Impact of bit-width specification on the memory hierarchy for a real-time video processing system (BT, MO), pp. 752–753.
DATEDATE-2006-XianL #adaptation #energy #multi #reduction
Energy reduction by workload adaptation in a multi-process environment (CX, YHL), pp. 514–519.
DATEDATE-2006-XueOLKK #architecture #clustering #embedded #memory management
Dynamic partitioning of processing and memory resources in embedded MPSoC architectures (LX, ÖÖ, FL, MTK, IK), pp. 690–695.
DATEDATE-2006-ZhangZD #modelling #named #parametricity #process
ALAMO: an improved alpha-space based methodology for modeling process parameter variations in analog circuits (HZ, YZ, AD), pp. 156–161.
DATEDATE-DF-2006-FanucciCSKWSALM #design #image #linear #synthesis
ASIP design and synthesis for non linear filtering in image processing (LF, MC, SS, DK, EMW, OS, GA, RL, HM), pp. 233–238.
DocEngDocEng-2006-Tennison #documentation #pipes and filters #xml
Processing XML documents with pipelines (JT), p. 91.
DRRDRR-2006-AgamAFGL #documentation #evaluation #information management #prototype
Complex document information processing: prototype, test collection, and evaluation (GA, SA, OF, DAG, DL).
DRRDRR-2006-JaegerZDCS #documentation #library #named
DOCLIB: a software library for document processing (SJ, GZ, DSD, KC, SS).
PODSPODS-2006-Koch #query
Processing queries on tree-structured data efficiently (CK), pp. 213–224.
PODSPODS-2006-Rosati #database #decidability #finite #on the #query
On the decidability and finite controllability of query processing in databases with incomplete information (RR), pp. 356–365.
SIGMODSIGMOD-2006-ChenSM #performance #query #web
Efficient query processing in geographic web search engines (YYC, TS, AM), pp. 277–288.
SIGMODSIGMOD-2006-DalyMWFFGZ #ad hoc #named
PADS: an end-to-end system for processing ad hoc data (MD, YM, DW, MFF, KF, RG, XZ), pp. 727–729.
SIGMODSIGMOD-2006-DeshpandeHR #adaptation #how #query #what #why
Adaptive query processing: why, how, when, what next (AD, JMH, VR), pp. 806–807.
SIGMODSIGMOD-2006-JainAAKPSV #design #evaluation #implementation #linear
Design, implementation, and evaluation of the linear road bnchmark on the stream processing core (NJ, LA, HA, RK, YP, PS, CV), pp. 431–442.
SIGMODSIGMOD-2006-LimLLWS #data type #query #using
Continuous query processing in data streams using duality of data and queries (HSL, JGL, MJL, KYW, IYS), pp. 313–324.
SIGMODSIGMOD-2006-MathisHH #query #xml
Locking-aware structural join operators for XML query processing (CM, TH, MPH), pp. 467–478.
SIGMODSIGMOD-2006-PapadomanolakisALTOH #performance #query
Efficient query processing on unstructured tetrahedral meshes (SP, AA, JCL, TT, DRO, GH), pp. 551–562.
SIGMODSIGMOD-2006-WuDR
High-performance complex event processing over streams (EW, YD, SR), pp. 407–418.
VLDBVLDB-2006-AgarwalXYY #query #scalability
Scalable Continuous Query Processing by Tracking Hotspots (PKA, JX, JY, HY), pp. 31–42.
VLDBVLDB-2006-AilamakiGHM #query
Query Co-Processing on Commodity Processors (AA, NKG, SH, DM), p. 1267.
VLDBVLDB-2006-ApaydinCFT #approximate #encoding #query
Approximate Encoding for Direct Access and Query Processing over Compressed Bitmaps (TA, GC, HF, AST), pp. 846–857.
VLDBVLDB-2006-BastMSTW #named #query
IO-Top-k: Index-access Optimized Top-k Query Processing (HB, DM, RS, MT, GW), pp. 475–486.
VLDBVLDB-2006-BeeriEKM #process #query
Querying Business Processes (CB, AE, SK, TM), pp. 343–354.
VLDBVLDB-2006-BorkarCLWEO #framework #query
Query Processing in the AquaLogic Data Services Platform (VRB, MJC, DL, TW, DE, NO), pp. 1037–1048.
VLDBVLDB-2006-ChenLTHAC #bottom-up #documentation #named #query #stack #xml
Twig2Stack: Bottom-up Processing of Generalized-Tree-Pattern Queries over XML Documents (SC, HGL, JT, WPH, DA, KSC), pp. 283–294.
VLDBVLDB-2006-HuangCLL #evolution #using #xml
XML Evolution: A Two-phase XML Processing Model Using XML Prefiltering Techniques (CHH, TRC, JJL, HML), pp. 1215–1218.
VLDBVLDB-2006-LiuN #database #performance #relational
Efficient XSLT Processing in Relational Database System (ZHL, AN), pp. 1106–1116.
VLDBVLDB-2006-MokbelCA #privacy #query
The New Casper: Query Processing for Location Services without Compromising Privacy (MFM, CYC, WGA), pp. 763–774.
VLDBVLDB-2006-XingHCZ #distributed
Providing Resiliency to Load Variations in Distributed Stream Processing (YX, JHH, , SBZ), pp. 775–786.
CSEETCSEET-2006-ComerE #development #evaluation #process #student
Students Managing the Software Development Process: A Meta-Level Retrospective Evaluation (AC, HME), pp. 167–174.
ITiCSEITiCSE-2006-FatimaMRSH #automation #retrieval #sequence
Automated gene processing and exon sequence retrieval (TF, JDM, RR, GS, KGH), p. 366.
FASEFASE-2006-HickeyN #design #process #scalability
: Designing a Scalable Build Process (JH, AN), pp. 63–78.
FoSSaCSFoSSaCS-2006-BaldanCHKS #process #term rewriting
Processes for Adhesive Rewriting Systems (PB, AC, TH, BK, PS), pp. 202–216.
FoSSaCSFoSSaCS-2006-LuttgenV #process #semantics
Conjunction on Processes: Full-Abstraction Via Ready-Tree Semantics (GL, WV), pp. 261–276.
FoSSaCSFoSSaCS-2006-PhillipsU #algebra #calculus #process
Reversing Algebraic Process Calculi (ICCP, IU), pp. 246–260.
FoSSaCSFoSSaCS-2006-Serre #game studies #graph #process
Parity Games Played on Transition Graphs of One-Counter Processes (OS), pp. 337–351.
CSMRCSMR-2006-QuanteK #graph #process
Dynamic Object Process Graphs (JQ, RK), pp. 81–90.
ICSMEICSM-2006-Dugerdil #process #re-engineering
Reengineering Process Based on the Unified Process (PD), pp. 330–333.
ICSMEICSM-2006-JansenB #deployment #process #validation
Definition and Validation of the Key process of Release, Delivery and Deployment for Product Software Vendors: turning the ugly duckling into a swan (SJ, SB), pp. 166–175.
ICSMEICSM-2006-KuhnG
Exploiting the Analogy Between Traces and Signal Processing (AK, OG), pp. 320–329.
ICSMEICSM-2006-ZhangCZ #e-commerce #process #re-engineering #user interface #using
Reengineering User Interfaces of E-Commerce Applications Using Business Processes (QZ, RC, YZ), pp. 428–437.
WCREWCRE-2006-Cerulo #development #on the #process
On the Use of Process Trails to Understand Software Development (LC), pp. 303–304.
ICALPICALP-v2-2006-AdaoF #communication #encryption #implementation #process
Cryptographically Sound Implementations for Communicating Processes (PA, CF), pp. 83–94.
ICALPICALP-v2-2006-Boreale #calculus #information management #process
Quantifying Information Leakage in Process Calculi (MB), pp. 119–131.
SEFMSEFM-2006-Lodaya #algebra #automaton #process
Product Automata and Process Algebra (KL), pp. 128–136.
ICGTICGT-2006-BaldanCFG #graph #process #transaction
Graph Transactions as Processes (PB, AC, LF, FG), pp. 199–214.
ICGTICGT-2006-BonchiGK #bisimulation #encoding #process #visual notation
Process Bisimulation Via a Graphical Encoding (FB, FG, BK), pp. 168–183.
CAiSECAiSE-2006-LinSHKS #framework #modelling #process #semantics
Semantic Annotation Framework to Manage Semantic Heterogeneity of Process Models (YL, DS, SH, JK, AS), pp. 433–446.
CAiSECAiSE-2006-OuyangDBH #modelling #process #standard
Translating Standard Process Models to BPEL (CO, MD, SB, AHMtH), pp. 417–432.
CAiSECAiSE-2006-RinderleR #data-driven #exception #process
Data-Driven Process Control and Exception Handling in Process Management Systems (SR, MR), pp. 273–287.
CAiSECAiSE-2006-RosemannRIG #case study #evolution #modelling #process
A Study of the Evolution of the Representational Capabilities of Process Modeling Grammars (MR, JR, MI, PFG), pp. 447–461.
EDOCEDOC-2006-BendraouGB #abstraction #execution #modelling #named #process #uml
UML4SPM: An Executable Software Process Modeling Language Providing High-Level Abstractions (RB, MPG, XB), pp. 297–306.
EDOCEDOC-2006-DongenMA #modelling #process
Structural Patterns for Soundness of Business Process Models (BFvD, JM, WMPvdA), pp. 116–128.
EDOCEDOC-2006-GovernatoriMS #contract #process
Compliance checking between business processes and business contracts (GG, ZM, SWS), pp. 221–232.
EDOCEDOC-2006-JanieschDGL #documentation #enterprise #process #specification #towards
Integrated Configuration of Enterprise Systems for Interoperability — Towards Process Model and Business Document Specification Alignment (CJ, AD, UG, SL), pp. 445–448.
EDOCEDOC-2006-MilosevicSO #contract #process
Translating business contract into compliant business processes (ZM, SWS, MEO), pp. 211–220.
EDOCEDOC-2006-MutschlerBR #empirical #industrial #information management #why
Why Process-Orientation is Scarce: An Empirical Study of Process-oriented Information Systems in the Automotive Industry (BM, JB, MR), pp. 433–440.
EDOCEDOC-2006-OgasawaraTY #execution #modelling #process
Estimating Structures of Business Process Models from Execution Logs (SO, KT, TY), pp. 106–115.
ICEISICEIS-AIDSS-2006-LampertiZ #incremental
Incremental Processing of Temporal Observations in Supervision and Diagnosis of Discrete-Event Systems (GL, MZ), pp. 47–57.
ICEISICEIS-AIDSS-2006-ProchazkaKK #fuzzy #information management #petri net #process #using
Various Process Wizard for Information Systems — Using Fuzzy Petri Nets for Process Definition (JP, JK, CK), pp. 235–242.
ICEISICEIS-DISI-2006-EzenwoyeS #process #robust
Enabling Robustness in Existing BPEL Processes (OE, SMS), pp. 95–102.
ICEISICEIS-DISI-2006-RabaeyVVTT #adaptation #embedded #flexibility #information management #process
Business Process Embedded Information Systems — For Flexibility and Adaptability (MR, EV, KV, HT, MT), pp. 144–150.
ICEISICEIS-DISI-2006-RinderleBR #framework #process #semantics
A Framework for Semantic Recovery Strategies in Case of Process Activity Failures (SR, SB, MR), pp. 136–143.
ICEISICEIS-DISI-2006-StojanovicDPN #mobile #network #query
Continuous Range Query Processing for Network Constrained Mobile Objects (DS, SDK, ANP, AN), pp. 63–70.
ICEISICEIS-ISAS-2006-AhnC #elicitation #process #requirements
A Reuse-Based Requirements Elicitation Process (SA, KC), pp. 403–409.
ICEISICEIS-ISAS-2006-AkhlakiTT #algebra #design #modelling #process #realtime #specification #uml
Design of Real-Time Systems by Systematic Transformation of UML/RT Models into Simple Timed Process Algebra System Specifications (KBA, MICT, JAHT), pp. 290–297.
ICEISICEIS-ISAS-2006-BarjisB #communication #design #interactive #process
Business Process Design Based on Communication and Interaction (JB, IB), pp. 197–203.
ICEISICEIS-ISAS-2006-BrockmansEKOS #process #semantics
Semantic Alignment of Business Processes (SB, ME, AK, AO, RS), pp. 191–196.
ICEISICEIS-ISAS-2006-CostelloFMLD #approach #distributed #framework #modelling #named #process #using
iWISE: A Framework for Providing Distributed Process Visibility Using an Event-Based Process Modelling Approach (CC, WF, OM, GL, JD), pp. 224–233.
ICEISICEIS-ISAS-2006-GrimanPM #approach #development #guidelines #process
Methodological Guidelines for SQA in Development Process — An Approach Based on the SPICE Model (AG, MAP, LEM), pp. 269–275.
ICEISICEIS-ISAS-2006-KnackstedtJR #approach #configuration management #modelling #transaction
Configuring Reference Models — An Integrated Approach for Transaction Processing and Decision Support (RK, CJ, TR), pp. 135–143.
ICEISICEIS-ISAS-2006-KrogstieDJ #modelling #process
Increasing the Value of Process Modelling (JK, VD, SMJ), pp. 70–77.
ICEISICEIS-ISAS-2006-RinderleBRB #case study #challenge #process #visualisation
Business Process Visualization — Use Cases, Challenges, Solutions (SR, RB, MR, TB), pp. 204–211.
ICEISICEIS-ISAS-2006-ShishkovQ #modelling #process #refinement #using
Refinement of SDBC Business Process Models Using ISDL (BS, DACQ), pp. 61–69.
ICEISICEIS-ISAS-2006-SturmDS #domain model #modelling
Domain Modeling with Object-Process Methodology (AS, DD, OS), pp. 144–151.
ICEISICEIS-ISAS-2006-SubramaniamKG #behaviour #evolution #predict #process
Business Processes: Behavior Prediction and Capturing Reasons for Evolution (SS, VK, DG), pp. 3–10.
ICEISICEIS-ISAS-2006-VasilecasB #development #elicitation #enterprise #information management #process
Business Rules Elicitation in the Process of Enterprise Information System Development (OV, DB), pp. 218–223.
ICEISICEIS-ISAS-2006-ZdravkovicH #flexibility #process #using
Flexible Realization of Business Processes Using Existing Services (JZ, MH), pp. 165–172.
ICEISICEIS-J-2006-KrogstieDJ06a #framework #modelling #process
Process Modeling Value Framework (JK, VD, SMJ), pp. 309–321.
ICEISICEIS-J-2006-LampertiZ06a #monitoring #on the
On Processing Temporal Observations in Monitoring of Discrete-Event Systems (GL, MZ), pp. 135–146.
ICEISICEIS-J-2006-ShishkovQ06a #modelling #process #refinement
Combining SDBC and ISDL in the Modeling and Refinement of Business Processes (BS, DACQ), pp. 322–335.
ICEISICEIS-J-2006-TrienekensKVKMS06a #aspect-oriented #development #process
Improvement of Software Development Processes, Balancing Internal and External Organizational Aspects (JJMT, RJK, FvV, DK, DM, PS), pp. 75–85.
ICEISICEIS-SAIC-2006-HendersonS #approach #collaboration #process
A Narrative Approach to Collaborative Writing — A Business Process Model (PH, NDS), pp. 166–173.
ICEISICEIS-SAIC-2006-ReeseMOM #distributed #process
Distributed Business Processes in Open Agent Environments (CR, KM, SO, DM), pp. 81–86.
CIKMCIKM-2006-ChengSPSVX #nondeterminism #performance
Efficient join processing over uncertain data (RC, SS, SP, RS, JSV, YX), pp. 738–747.
CIKMCIKM-2006-HolzRDSMK #process #reuse
Task-based process know-how reuse and proactive information delivery in TaskNavigator (HH, OR, AD, TS, KM, KK), pp. 522–531.
CIKMCIKM-2006-HoseLS #distributed #summary #using
Processing relaxed skylines in PDMS using distributed data summaries (KH, CL, KUS), pp. 425–434.
CIKMCIKM-2006-TomasicSZ
Processing information intent via weak labeling (AT, IS, JZ), pp. 856–857.
CIKMCIKM-2006-TrainaTVAF #performance #query #similarity
Efficient processing of complex similarity queries in RDBMS through query rewriting (CTJ, AJMT, MRV, ASA, CF), pp. 4–13.
ICMLICML-2006-DegrisSW #learning #markov #problem #process
Learning the structure of Factored Markov Decision Processes in reinforcement learning problems (TD, OS, PHW), pp. 257–264.
ICMLICML-2006-HutchinsonMR #modelling #process
Hidden process models (RAH, TMM, IR), pp. 433–440.
ICMLICML-2006-LiLC #markov #process
Region-based value iteration for partially observable Markov decision processes (HL, XL, LC), pp. 561–568.
ICMLICML-2006-MaggioniM #analysis #evaluation #markov #multi #performance #policy #process #using
Fast direct policy evaluation using multiscale analysis of Markov diffusion processes (MM, SM), pp. 601–608.
ICMLICML-2006-ToussaintS #markov #probability #process
Probabilistic inference for solving discrete and continuous state Markov Decision Processes (MT, AJS), pp. 945–952.
ICMLICML-2006-XingSJT #multi #process #type inference
Bayesian multi-population haplotype inference via a hierarchical dirichlet process mixture (EPX, KAS, MIJ, YWT), pp. 1049–1056.
ICPRICPR-v1-2006-GayuboGFMP #detection #fault #online #process
On-line machine vision system for detect split defects in sheet-metal forming processes (FG, JLG, EdlFL, FMT, JRP), pp. 723–726.
ICPRICPR-v1-2006-PerrinDZ #2d #3d #assessment #parametricity #process #using
2D and 3D Vegetation Resource Parameters Assessment using Marked Point Processes (GP, XD, JZ), pp. 1–4.
ICPRICPR-v1-2006-WongC06a #adaptation
Adaptive Processing of Face Emotion Tree Structures (JJW, SYC), pp. 1184–1187.
ICPRICPR-v2-2006-GuoOW #image #pattern matching #pattern recognition #recognition
Inspecting Ingredients of Starches in Starch-Noodle based on Image Processing and Pattern Recognition (MG, ZO, HW), pp. 877–880.
ICPRICPR-v3-2006-KyweFM #algorithm #image #realtime #scheduling #using
Scheduling of Image Processing Using Anytime Algorithm for Real-time System (WWK, DF, KM), pp. 1095–1098.
ICPRICPR-v3-2006-LenzS
Lie Methods in Color Signal Processing: Illumination Effects (RL, MS), pp. 738–741.
ICPRICPR-v3-2006-MinM #gpu
Tensor Voting Accelerated by Graphics Processing Units (GPU) (CM, GGM), pp. 1103–1106.
ICPRICPR-v4-2006-SekoSHMK #image #lens #quote #scalability
“Firefly capturing method”: Motion capturing by monocular camera with large spherical aberration of lens and Hough-transform-based image processing (YS, YS, HH, JM, HK), pp. 821–824.
KDDKDD-2006-IhlerHS #adaptation #detection #process
Adaptive event detection with time-varying poisson processes (ATI, JH, PS), pp. 207–216.
KRKR-2006-Doyle #on the #process
On Mechanization of Thought Processes (Extended Abstract) (JD), p. 2.
SEKESEKE-2006-HofmeisterW #integration #process #taxonomy
A Pattern Taxonomy for Business Process Integration Oriented Application Integration (HH, GW), pp. 114–119.
SEKESEKE-2006-LiLWD #process #re-engineering
A Negotiation Model for the Process Agents in an Agent-Based Process-Centered Software Engineering Environment (NL, ML, QW, SD), pp. 664–669.
SEKESEKE-2006-LiuP #modelling #multi #optimisation #query
Multi-model Based Optimization for Stream Query Processing (YL, BP), pp. 150–155.
SEKESEKE-2006-ScottoSS #bibliography #development #open source #perspective #process
Open Source Development Process: a Review (MS, AS, GS), pp. 176–181.
SEKESEKE-2006-SteinmacherLH #analysis #process #simulation #using #workflow
Task Anticipation: A Quantitative Analysis Using Workflow Process Simulation (IS, JVdL, EHMH), pp. 601–606.
SIGIRSIGIR-2006-ArgamonAFGLSV #documentation #information management #prototype
A complex document information processing prototype (SA, GA, OF, DAG, DDL, GS, EMV), pp. 599–600.
SIGIRSIGIR-2006-LewisAAFGH #documentation #information management
Building a test collection for complex document information processing (DDL, GA, SA, OF, DAG, JH), pp. 665–666.
ECMFAECMDA-FA-2006-BraheO #modelling #process #uml
Business Process Modeling: Defining Domain Specific Modeling Languages by Use of UML Profiles (SB, ), pp. 241–255.
ECMFAECMDA-FA-2006-MansellBVM #development #framework #modelling #process
A Process Framework for the Successful Adoption of Model Driven Development (JXM, AB, RV, KM), pp. 90–100.
MODELSMoDELS-2006-HendricksonJH #design #diagrams #process
Layered Class Diagrams: Supporting the Design Process (SAH, BJ, AvdH), pp. 722–736.
MODELSMoDELS-2006-KuhnGW #case study #development #experience #modelling #process #tool support
Model-Driven Development with SDL — Process, Tools, and Experiences (TK, RG, CW), pp. 83–97.
MODELSMoDELS-2006-HendricksonJH #design #diagrams #process
Layered Class Diagrams: Supporting the Design Process (SAH, BJ, AvdH), pp. 722–736.
MODELSMoDELS-2006-KuhnGW #case study #development #experience #modelling #process #tool support
Model-Driven Development with SDL — Process, Tools, and Experiences (TK, RG, CW), pp. 83–97.
RERE-2006-GrauFA #java #named #process #re-engineering
J-PRiM: A Java Tool for a Process Reengineering i* Methodology (GG, XF, ), pp. 352–353.
RERE-2006-LockerbieM #modelling #named #process #requirements
REDEPEND: Extending i* Modelling into Requirements Processes (JL, NAMM), pp. 354–355.
SACSAC-2006-AguilarRGP #evaluation #metric #modelling #process
Evaluation measures for business process models (ERA, FR, FG, MP), pp. 1567–1568.
SACSAC-2006-Bollen #concept #enterprise #information management #process
Conceptual process configurations in enterprise knowledge management systems (PB), pp. 1516–1523.
SACSAC-2006-CaetanoT #modelling #process
Modeling organizational actors and business processes (AC, JMT), pp. 1565–1566.
SACSAC-2006-ListK #concept #evaluation #modelling #process
An evaluation of conceptual business process modelling languages (BL, BK), pp. 1532–1539.
SACSAC-2006-MaiaMFCS #grid #process
A process for separation of crosscutting grid concerns (PHMM, NCM, VF, WC, KBS), pp. 1569–1574.
SACSAC-2006-MendlingMN #modelling #process
Transformation of yEPC business process models to YAWL (JM, MM, GN), pp. 1262–1266.
SACSAC-2006-NguyenLL #case study #modelling #process
A space aware agent-based modeling process for the study of hierarchical complex systems (TMLN, CL, IL), pp. 126–127.
SACSAC-2006-RaptopoulouVM #performance #query
Efficient processing of past-future spatiotemporal queries (KR, MV, YM), pp. 68–72.
SACSAC-2006-RuizCCP #algebra #analysis #concurrent #e-commerce #process #protocol #set #using
Analysis of the SET e-commerce protocol using a true concurrency process algebra (MCR, DC, FC, JJP), pp. 879–886.
SACSAC-2006-SilvaTS #framework #multi #open source #web
An open source and web based framework for geographic and multidimensional processing (JdS, VCT, ACS), pp. 63–67.
SACSAC-2006-WongC #adaptation #recognition
Facial emotion recognition by adaptive processing of tree structures (JJW, SYC), pp. 23–30.
ICSEICSE-2006-BabarKG #architecture #assessment #distributed #evaluation #process #towards
Towards a distributed software architecture evaluation process: a preliminary assessment (MAB, BK, IG), pp. 845–848.
ICSEICSE-2006-Dolins #process #using
Using the balanced scorecard process to compute the value of software applications (SBD), pp. 881–884.
ICSEICSE-2006-Filho #process
A software process for time-constrained course projects (WPPF), pp. 707–710.
ICSEICSE-2006-GoelMRT #process
Interacting process classes (AG, SM, AR, PST), pp. 302–311.
ICSEICSE-2006-HuangBHGLQ #development #enterprise #process
Applying the Value/Petri process to ERP software development in China (LH, BWB, HH, JG, JL, CQ), pp. 502–511.
ICSEICSE-2006-Jansen #process
Improving the customer configuration update process by explicitly managing software knowledge (SJ), pp. 965–968.
ICSEICSE-2006-JuristoF #development #how #process #usability
How to integrate usability into the software development process (NJJ, XF), pp. 1079–1080.
ICSEICSE-2006-Komuro #case study #development #experience #process
Experiences of applying SPC techniques to software development processes (MK), pp. 577–584.
ICSEICSE-2006-MutschlerBR #design #evaluation #framework
Designing an economic-driven evaluation framework for process-oriented software technologies (BM, JB, MR), pp. 885–888.
ICSEICSE-2006-WangJGLLW #approach #named #performance #process #statistics
BSR: a statistic-based approach for establishing and refining software process performance baseline (QW, NJ, LG, XL, ML, YW), pp. 585–594.
ICSEICSE-2006-ZouZ #automation #e-commerce #evolution #framework #generative #process #using
A framework for automatic generation of evolvable e-commerce workplaces using business processes (YZ, QZ), pp. 799–802.
ATEMATEM-2006-RillingZMWHC #comprehension #maintenance #ontology #process
A Unified Ontology-Based Process Model for Software Maintenance and Comprehension (JR, YZ, WJM, RW, VH, PC), pp. 56–65.
HPDCHPDC-2006-DobberMK #effectiveness #grid #predict #scalability
Effective Prediction of Job Processing Times in a Large-Scale Grid Environment (MD, RDvdM, GK), pp. 359–360.
PPoPPPPoPP-2006-RothM #automation #online #performance #process
On-line automated performance diagnosis on thousands of processes (PCR, BPM), pp. 69–80.
ICLPICLP-2006-MouraM
Logtalk Processing of STEP Part 21 Files (PM, VM), pp. 453–454.
ICLPICLP-2006-OrtizV #combinator #logic #natural language #using
Natural Language Processing Using Lexical and Logical Combinators (JFO, JV), pp. 444–446.
LICSLICS-2006-FioreS #calculus #congruence #process #semantics
A Congruence Rule Format for Name-Passing Process Calculi from Mathematical Structural Operational Semantics (MPF, SS), pp. 49–58.
LICSLICS-2006-Kozen #induction #probability #process #proving
Coinductive Proof Principles for Stochastic Processes (DK), pp. 359–366.
ICSTSAT-2006-ManoliosZ #bibliography #implementation
Implementing Survey Propagation on Graphics Processing Units (PM, YZ), pp. 311–324.
WICSAWICSA-2005-Schwanke #architecture #named #process #requirements
GEAR: A Good Enough Architectural Requirements Process (RWS), pp. 57–66.
WICSAWICSA-2005-TibermacineFS #architecture #component #development #process
Preserving Architectural Choices throughout the Component-based Software Development Process (CT, RF, SS), pp. 121–130.
ASEASE-2005-CassO #design #performance #process
Process support to help novices design software faster and better (AGC, LJO), pp. 295–299.
CASECASE-2005-FalkmanLT #algebra #petri net #process #specification #using
Specification of a batch plant using process algebra and Petri nets (PF, BL, MT), pp. 339–344.
CASECASE-2005-KimD #metric #process #quality #using
Quality measurement of production process plan using tolerance chart (IHK, ZD), pp. 25–30.
CASECASE-2005-MervynKN #adaptation #design #process
An adaptive fixture design system for integrated product and process design (FM, ASK, AYCN), pp. 87–92.
CASECASE-2005-NgYHD #energy #process
Diagnosis of sheet metal stamping processes based on thermal energy distribution (YMHN, MY, YH, RD), pp. 191–196.
DACDAC-2005-CaoC #approach #modelling #performance #process #statistics #towards #variability
Mapping statistical process variations toward circuit performance variability: an analytical modeling approach (YC, LTC), pp. 658–663.
DACDAC-2005-ChangS #analysis #correlation #power management #process
Full-chip analysis of leakage power under process variations, including spatial correlations (HC, SSS), pp. 523–528.
DACDAC-2005-Chatterjee #design #process #verification
Streamline verification process with formal property verification to meet highly compressed design cycle (PC), pp. 674–677.
DACDAC-2005-GuardianiBDMM #effectiveness #process
An effective DFM strategy requires accurate process and IP pre-characterization (CG, MB, ND, MM, PM), pp. 760–761.
DACDAC-2005-KajiharaFWMHS #process
Path delay test compaction with process variation tolerance (SK, MF, XW, TM, SH, YS), pp. 845–850.
DACDAC-2005-TiriHHLYSV #embedded #encryption
A side-channel leakage free coprocessor IC in 0.18µm CMOS for embedded AES-based cryptographic and biometric processing (KT, DDH, AH, BCL, SY, PS, IV), pp. 222–227.
DACDAC-2005-TopalogluO #approach #process
A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs (ROT, AO), pp. 851–856.
DATEDATE-2005-AroraRRJ #embedded #monitoring #runtime
Secure Embedded Processing through Hardware-Assisted Run-Time Monitoring (DA, SR, AR, NKJ), pp. 178–183.
DATEDATE-2005-DattaBMBR #design #modelling #pipes and filters #process #statistics
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies (AD, SB, SM, NB, KR), pp. 926–931.
DATEDATE-2005-GhantaVPW #analysis #grid #power management #probability #process
Stochastic Power Grid Analysis Considering Process Variations (PG, SBKV, RP, JMW), pp. 964–969.
DATEDATE-2005-IllgenO #assurance #case study #experience #perspective #process #quality
Process Oriented Software Quality Assurance — An Experience Report in Process Improvement — OEM Perspective (TI, SO), pp. 536–537.
DATEDATE-2005-KandemirC #embedded #process #scheduling
Locality-Aware Process Scheduling for Embedded MPSoCs (MTK, GC), pp. 870–875.
DATEDATE-2005-KandemirLCCO #embedded #in memory #trade-off
Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing (MTK, FL, GC, GC, ÖÖ), pp. 1026–1031.
DATEDATE-2005-KruseTEVS #contract #design #distributed #embedded #flexibility #process
Introducing Flexible Quantity Contracts into Distributed SoC and Embedded System Design Processes (JK, CT, RE, TV, TS), pp. 938–943.
DATEDATE-2005-KumarLTW #multi #probability #process #statistics
A Probabilistic Collocation Method Based Statistical Gate Delay Model Considering Process Variations and Multiple Input Switching (YSK, JL, CT, JMW), pp. 770–775.
DATEDATE-2005-Langenwalter #development #embedded #process
Embedded Automotive System Development Process (JL), pp. 538–539.
DATEDATE-2005-NeiroukhS #statistics #using
Improving the Process-Variation Tolerance of Digital Circuits Using Gate Sizing and Statistical Techniques (ON, XS), pp. 294–299.
DATEDATE-2005-StecheleCHS #information management #visual notation
A Coprocessor for Accelerating Visual Information Processing (WS, LAC, SH, JLS), pp. 26–31.
DATEDATE-2005-WangMDCM #analysis #embedded #energy #process #variability
Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules (HW, MM, WD, FC, KM), pp. 914–919.
DATEDATE-2005-XiongTH #process
Buffer Insertion Considering Process Variation (JX, KHT, LH), pp. 970–975.
DATEDATE-2005-YardiHMH #multi #power management #quality
Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing (SMY, MSH, TLM, DSH), pp. 340–345.
DATEDATE-2005-ZhuOHN #design #process #uml
Integrating UML into SoC Design Process (QZ, RO, TH, TN), pp. 836–837.
DocEngDocEng-2005-HuangCL #documentation #performance #xml
Prefiltering techniques for efficient XML document processing (CHH, TRC, HML), pp. 149–158.
DRRDRR-2005-HopkinsA #framework #open source #recognition
A Fourier-descriptor-based character recognition engine implemented under the Gamera open-source document-processing framework (JH, TLA), pp. 111–118.
HTHT-2005-BryE #web
Processing link structures and linkbases in the web’s open world linking (FB, ME), pp. 135–144.
ICDARICDAR-2005-BerardiACM #analysis #layout #process
A color-based layout analysis to process censorship cards of film archives (MB, OA, MC, DM), pp. 1110–1114.
ICDARICDAR-2005-EspositoFBM #documentation
Intelligent Document Processing (FE, SF, TMAB, NDM), pp. 1100–1104.
ICDARICDAR-2005-FanLS #image
A Comprehensive Image Processing Suite for Book Re-mastering (JF, XL, SJS), pp. 447–451.
ICDARICDAR-2005-FarooqGP #documentation #preprocessor
Pre-processing Methods for Handwritten Arabic Documents (FF, VG, MPP), pp. 267–271.
PODSPODS-2005-SrivastavaMW #query
Operator placement for in-network stream query processing (US, KM, JW), pp. 250–258.
SIGMODSIGMOD-2005-AhmadBCHHJMPRTXXZ #distributed
Distributed operation in the Borealis stream processing engine (YA, BB, , MH, JHH, AJ, AM, OP, AR, NT, WX, YX, SBZ), pp. 882–884.
SIGMODSIGMOD-2005-BalazinskaBMS #distributed #fault tolerance
Fault-tolerance in the Borealis distributed stream processing system (MB, HB, SM, MS), pp. 13–24.
SIGMODSIGMOD-2005-CaoB #approach #relational #sql
A Nested Relational Approach to Processing SQL Subqueries (BC, AB), pp. 191–202.
SIGMODSIGMOD-2005-GolabO #modelling #query
Update-Pattern-Aware Modeling and Processing of Continuous Queries (LG, MTÖ), pp. 658–669.
SIGMODSIGMOD-2005-IacobD #framework #xml
A framework for processing complex document-centric XML with overlapping structures (IEI, AD), pp. 897–899.
SIGMODSIGMOD-2005-LiuKA #xquery
Native Xquery processing in oracle XMLDB (ZHL, MK, VA), pp. 828–833.
SIGMODSIGMOD-2005-ThomeGP #database
Event processing with an oracle database (BT, DG, MP), pp. 863–867.
VLDBVLDB-2005-EnderleSS #database #query #relational #tuple
Efficiently Processing Queries on Interval-and-Value Tuples in Relational Databases (JE, NS, TS), pp. 385–396.
VLDBVLDB-2005-KuntschkeSKR #data type #named
StreamGlobe: Processing and Sharing Data Streams in Grid-Based P2P Infrastructures (RK, BS, AK, AR), pp. 1259–1262.
VLDBVLDB-2005-LiuR #parallel #pipes and filters #query
Revisiting Pipelined Parallelism in Multi-Join Query Processing (BL, EAR), pp. 829–840.
VLDBVLDB-2005-LiuZJMR #adaptation #distributed #query
A Dynamically Adaptive Distributed System for Processing Complex Continuous Queries (BL, YZ, MJ, BM, EAR), pp. 1338–1341.
VLDBVLDB-2005-LuLCC #encoding #pattern matching #performance #xml
From Region Encoding To Extended Dewey: On Efficient Processing of XML Twig Pattern Matching (JL, TWL, CYC, TC), pp. 193–204.
VLDBVLDB-2005-SchmidtLSL #query #realtime #robust
Robust Real-time Query Processing with QStream (SS, TL, SS, WL), pp. 1299–1302.
VLDBVLDB-2005-UniversityIEKM #process #query
Querying Business Processes with BP-QL (CB, AE, SK, TM), pp. 1255–1258.
VLDBVLDB-2005-WangWLJLL #performance #query #using #xml
Efficient Processing of XML Path Queries Using the Disk-based F&B Index (WW, HW, HL, HJ, XL, JL), pp. 145–156.
CSEETCSEET-2005-AlfonsoB #agile #education #process #re-engineering
An Iterative and Agile Process Model for Teaching Software Engineering (MIA, AB), pp. 9–16.
CSEETCSEET-2005-NavarroH #design #education #evaluation #process #simulation
Design and Evaluation of an Educational Software Process Simulation Environment and Associated Model (EON, AvdH), pp. 25–32.
CSEETCSEET-2005-SebernH #education #process #re-engineering
Integrating Software Engineering Process in an Undergraduate Curriculum (MJS, TBH), pp. 245–248.
ITiCSEITiCSE-2005-BiancoT #process #question #why
Why are children left out of the CS rethinking process? (GMB, ST), p. 352.
ITiCSEITiCSE-2005-Carrasquel #process #student
Students evaluating faculty: a subjective process (JC), p. 346.
ITiCSEITiCSE-2005-Wagner #education #modelling #process
Teaching data modeling: process and patterns (PW), pp. 168–172.
ESOPESOP-2005-MakholmW #calculus #mobile #polymorphism #process #reduction #type system
Instant Polymorphic Type Systems for Mobile Process Calculi: Just Add Reduction Rules and Close (HM, JBW), pp. 389–407.
FASEFASE-2005-Martens #process #web #web service
Analyzing Web Service Based Business Processes (AM), pp. 19–33.
FoSSaCSFoSSaCS-2005-FocardiRS #calculus #process #security
Bridging Language-Based and Process Calculi Security (RF, SR, AS), pp. 299–315.
FoSSaCSFoSSaCS-2005-LanotteT #congruence #generative #probability #process
Probabilistic Congruence for Semistochastic Generative Processes (RL, ST), pp. 63–78.
CSMRCSMR-2005-Caivano #process #statistics
Continuous Software Process Improvement through Statistical Process Control (DC), pp. 288–293.
CSMRCSMR-2005-CarballeiraPRV #empirical #maintenance #modelling #process
Maintainability of Software Process Models: An Empirical Study (FG, MP, FR, CAV), pp. 246–255.
CSMRCSMR-2005-JansenBB #process
A Process Model and Typology for Software Product Updaters (SJ, GB, SB), pp. 265–274.
CSMRCSMR-2005-SvenssonH #agile #evolution #maintenance #process
Introducing an Agile Process in a Software Maintenance and Evolution Organization (HS, MH), pp. 256–264.
CSMRCSMR-2005-ZaidmanCDP #comprehension #execution #mining #process
Applying Webmining Techniques to Execution Traces to Support the Program Comprehension Process (AZ, TC, SD, JP), pp. 134–142.
ICSMEICSM-2005-BaldassarreBCV #process #statistics
Improving Dynamic Calibration through Statistical Process Control (MTB, NB, DC, GV), pp. 273–282.
IWPCIWPC-2005-AndrewsSPG #component #comprehension #off the shelf #process
A COTS Component Comprehension Process (AAA, AS, NP, SG), pp. 135–144.
IWPCIWPC-2005-GearBCCO #case study #component #industrial #process #reuse
Achieving a Reuse Perspective within a Component Recovery Process: An Industrial Scale Case Study (ALG, JB, BC, JJC, KO), pp. 279–288.
MSRMSR-2005-HuangL #learning #mining #process #verification #version control
Mining version histories to verify the learning process of Legitimate Peripheral Participants (SKH, KmL), pp. 21–25.
MSRMSR-2005-VanHilstGL #mining #process #repository
Repository mining and Six Sigma for process improvement (MVH, PKG, CL), pp. 101–104.
WCREWCRE-2005-LiCHCY #abstraction #architecture #process
Architecture Recovery and Abstraction from the Perspective of Processes (QL, HC, SH, PC, ZY), pp. 57–66.
PLDIPLDI-2005-DaiHLH #architecture #automation #clustering #pipes and filters
Automatically partitioning packet processing applications for pipelined architectures (JD, BH, LL, LH), pp. 237–248.
PLDIPLDI-2005-FisherG #ad hoc #domain-specific language #named
PADS: a domain-specific language for processing ad hoc data (KF, RG), pp. 295–304.
SASSAS-2005-Gordon #calculus #process #security
From Typed Process Calculi to Source-Based Security (ADG), p. 2.
ICALPICALP-2005-DelzannoG #composition #constraints #process #theorem proving #verification
Compositional Verification of Asynchronous Processes via Constraint Solving (GD, MG), pp. 1239–1250.
ICALPICALP-2005-DimitrovP #graph #process
Optimal Cover Time for a Graph-Based Coupon Collector Process (NBD, CGP), pp. 702–716.
ICALPICALP-2005-EtessamiY #game studies #markov #probability #process #recursion
Recursive Markov Decision Processes and Recursive Stochastic Games (KE, MY), pp. 891–903.
ICALPICALP-2005-GroheKS #bound #memory management #query #streaming
Tight Lower Bounds for Query Processing on Streaming and External Memory Data (MG, CK, NS), pp. 1076–1088.
ICALPICALP-2005-TamassiaT #bound #security
Computational Bounds on Hierarchical Data Processing with Applications to Information Security (RT, NT), pp. 153–165.
FMFM-2005-HoenickeM #model checking #process #specification
Model-Checking of Specifications Integrating Processes, Data and Time (JH, PM), pp. 465–480.
FMFM-2005-SunD #distributed #process #specification #synthesis
Synthesis of Distributed Processes from Scenario-Based Specifications (JS, JSD), pp. 415–431.
IFMIFM-2005-SalaunS #algebra #hardware #process #standard
Translating Hardware Process Algebras into Standard Process Algebras: Illustration with CHP and LOTOS (GS, WS), pp. 287–306.
SEFMSEFM-2005-AnantharamanCH #calculus #process
A Synchronous Process Calculus for Service Costs (SA, JC, GH), pp. 435–444.
SEFMSEFM-2005-JinH #concurrent #process #towards
Towards A Truly Concurrent Model for Processes Sharing Resources (NJ, JH), pp. 231–239.
SEFMSEFM-2005-SunW #process #realtime #refinement #semantics
Operational Semantics for Real-Time Processes with Action Refinement (XS, JW), pp. 54–63.
CAiSECAiSE-2005-AnderssonBEIJ #declarative #modelling #process
A Declarative Foundation of Process Models (BA, MB, AE, TI, PJ), pp. 233–247.
CAiSECAiSE-2005-EtienR #generative #metric #process
A Process for Generating Fitness Measures (AE, CR), pp. 277–292.
CAiSECAiSE-2005-MelloH #integration #named #process #xml
BInXS: A Process for Integration of XML Schemata (RdSM, CAH), pp. 151–166.
CAiSECAiSE-2005-NecibF #ontology #query #using
Query Processing Using Ontologies (CBN, JCF), pp. 167–186.
EDOCEDOC-2005-FischerMW #process
Improving IT Management at the BMW Group by Integrating Existing IT Management Processes (FF, FM, AW), pp. 219–228.
EDOCEDOC-2005-StefanovL #process
Bridging the Gap between Data Warehouses and Business Processes: A Business Intelligence Perspective for Event-Driven Process Chains (VS, BL), pp. 3–14.
ICEISICEIS-v1-2005-MiatidisJ #ide #workflow
Integrating Workflow Extensions into a Process-Integrated Environment for Chemical Engineering (MM, MJ), pp. 255–260.
ICEISICEIS-v1-2005-Pellerin #adaptation #enterprise #maintenance #process
Adapting ERP Systems for Supporting Defense Maintenance Processes (RP), pp. 293–299.
ICEISICEIS-v1-2005-SoeANNT #architecture #framework #parallel #query
A Framework for Parallel Query Processing on Grid-Based Architecture (KMS, TNA, AAN, TTN, NT), pp. 203–208.
ICEISICEIS-v2-2005-AhmedS #process
Scenario Management: Process and Support (MDA, DS), pp. 50–57.
ICEISICEIS-v3-2005-AhnLGB #agile #component #design #development #process #prototype
System Engineering Processes Activities for Agent System Design: Component Based Development for Rapid Prototyping (JA, DNL, TJG, KSB), pp. 196–202.
ICEISICEIS-v3-2005-AlvaroALPGM #aspect-oriented #component #incremental #modelling #process #towards
Aspect IPM: Towards an Incremental Process Model Based on AOP for Component-Based Systems (, ESdA, DL, AFdP, VCG, SRdLM), pp. 226–232.
ICEISICEIS-v3-2005-BassilRKKR #process
Preserving the Context of Interrupted Business Process Activities (SB, SR, RKK, PGK, MR), pp. 38–45.
ICEISICEIS-v3-2005-BeckerJDF #case study #documentation #process
Perspectives on Process Documentation — a Case Study (JB, CJ, PD, WF), pp. 46–56.
ICEISICEIS-v3-2005-CallejasCC #concept #optimisation #process
Conceptual Optimisation in Business Process Management (YC, JLC, MC), pp. 233–239.
ICEISICEIS-v3-2005-KloseKB #integration #modelling #process #specification
Process Modelling for Service Processes — Modelling Methods Extensions for Specifying and Analysing Customer Integration (KK, RK, JB), pp. 260–265.
ICEISICEIS-v3-2005-MacielSFR #development #distributed #process
An MDA-EDOC Based Development Process for Distributed Applications (RSPM, BCdS, CAGF, NSR), pp. 3–10.
ICEISICEIS-v3-2005-Martens #process
Process Oriented Discovery of Business Partners (AM), pp. 57–64.
ICEISICEIS-v3-2005-SadiqOLS #constraints #flexibility #process #quality #workflow
Quality of Service in Flexible Workflows through Process Constraints (SWS, MEO, JYCL, WS), pp. 29–37.
ICEISICEIS-v3-2005-SimoniBB #development #information management #process #social
Bringing Social Constructs to the Information System Development Process: Contributions of Organizational Semiotics (CACS, MCCB, RB), pp. 112–119.
ICEISICEIS-v3-2005-YangLZ #analysis #performance #process
A Theoretical Performance Analysis Method for Business Process Model (LY, YL, XZ), pp. 73–80.
ICEISICEIS-v3-2005-YuJ #development #modelling #monitoring #process
Model Driven Development of Business Process Monitoring and Control Systems (TY, JJJ), pp. 161–166.
ICEISICEIS-v4-2005-Debenham #process
An Agent for Emergent Process Management (JKD), pp. 3–10.
ICEISICEIS-v4-2005-KahmerM #industrial #process #web
Alignment of Web Sites to Customer Processes — Study in the Banking Industry (NK, JM), pp. 32–39.
ICEISICEIS-v5-2005-CouturierNN #interactive #process
Interactive Datamining Process Based on Human-Centered System for Banking Marketing Applications (OC, EMN, BN), pp. 104–109.
ICEISICEIS-v5-2005-KriegelKPR #performance
Efficient Join Processing for Complex Rasterized Objects (HPK, PK, MP, MR), pp. 20–30.
ICEISICEIS-v5-2005-RuschelIRF #analysis #concept #design #framework #process
Designing Geographic Analysis Processes on the Basis of the Conceptual Framework Geoframe (CR, CI, LVdR, JLF), pp. 91–97.
CIKMCIKM-2005-ComanNS #energy #network #performance #query
Exploiting redundancy in sensor networks for energy efficient processing of spatiotemporal region queries (AC, MAN, JS), pp. 187–194.
CIKMCIKM-2005-EdaOY #query #summary #xml #xpath
Processing XPath queries with XML summaries (TE, MO, MY), pp. 223–224.
CIKMCIKM-2005-HallerST #coordination #distributed #peer-to-peer #process #transaction
Decentralized coordination of transactional processes in peer-to-peer environments (KH, HS, CT), pp. 28–35.
CIKMCIKM-2005-LianCNOA #locality #network #query
Localized routing trees for query processing in sensor networks (JL, LC, KN, MTÖ, GBA), pp. 259–260.
CIKMCIKM-2005-SutherlandLJR #distributed #named #query #self
D-CAPE: distributed and self-tuned continuous query processing (TMS, BL, MJ, EAR), pp. 217–218.
ICMLICML-2005-BridewellALT #induction #process
Reducing overfitting in process model induction (WB, NBA, PL, LT), pp. 81–88.
ICMLICML-2005-ChuG #learning #process
Preference learning with Gaussian processes (WC, ZG), pp. 137–144.
ICMLICML-2005-EngelMM #learning #process
Reinforcement learning with Gaussian processes (YE, SM, RM), pp. 201–208.
ICMLICML-2005-GuestrinKS #process
Near-optimal sensor placements in Gaussian processes (CG, AK, APS), pp. 265–272.
ICMLICML-2005-LeSC #process
Heteroscedastic Gaussian process regression (QVL, AJS, SC), pp. 489–496.
ICMLICML-2005-RohanimaneshM #approach #concurrent #generative #markov #named #process
Coarticulation: an approach for generating concurrent plans in Markov decision processes (KR, SM), pp. 720–727.
ICMLICML-2005-YuTS #learning #multi #process
Learning Gaussian processes from multiple tasks (KY, VT, AS), pp. 1012–1019.
LSOLSO-2005-BjornsonS #framework #process
Harvesting Knowledge through a Method Framework in an Electronic Process Guide (FOB, TS), pp. 107–111.
LSOLSO-2005-SantosMRFMAPA #development #information management #process #using
Using a Software Development Environment with Knowledge Management to Support Deploying Software Processes in Small and Medium Size Companies (GS, MM, ARR, SF, SM, AA, BDP, MA), pp. 72–76.
LSOLSO-2005-Schneider #perspective #process
Software Process Improvement from a FLOW Perspective (KS), pp. 82–86.
SEKESEKE-2005-FelfernigG #development #effectiveness #knowledge-based #process #recommendation
AI Technologies Supporting Effective Development Processes for Knowledge-based Recommender Applications (AF, SG), pp. 372–379.
SEKESEKE-2005-LbathCC #approach #development #modelling #multi #process
A Multi-Agent Approach to a SPEM-based Modeling and Enactment of Software Development Processes (RL, BC, XC), pp. 241–246.
SEKESEKE-2005-Niazi #empirical #process #requirements
An Empirical Study for the Improvement of Requirements Engineering Process (MN), pp. 396–399.
SEKESEKE-2005-WangDSL #approach #design #process
TCOZ Approach to OWL-S Process Model Design (HHW, JSD, JS, YFL), pp. 354–359.
SEKESEKE-2005-XuNSL #process
Reuse-based Software Process Improvement and Contro (RX, PN, YS, YTL), pp. 121–126.
SIGIRSIGIR-2005-BadueBGRZ #query #web
Basic issues on the processing of web queries (CSB, RAB, PBG, BARN, NZ), pp. 577–578.
SIGIRSIGIR-2005-KurlandLD #clustering #modelling #pseudo #using
Better than the real thing?: iterative pseudo-query processing using cluster-based language models (OK, LL, CD), pp. 19–26.
SIGIRSIGIR-2005-TheobaldSW #incremental #performance #query #self
Efficient and self-tuning incremental query expansion for top-k query processing (MT, RS, GW), pp. 242–249.
ECMFAECMDA-FA-2005-TabuchiSN #algebra #analysis #design #modelling #performance #probability #process #uml
Model-Driven Performance Analysis of UML Design Models Based on Stochastic Process Algebra (NT, NS, HN), pp. 41–58.
MODELSMoDELS-2005-BendraouGB #metamodelling #modelling #named #process #uml
UML4SPM: A UML2.0-Based Metamodel for Software Process Modelling (RB, MPG, XB), pp. 17–38.
MODELSMoDELS-2005-ForsterES #constraints #diagrams #modelling #process #quality
Activity Diagram Patterns for Modeling Quality Constraints in Business Processes (AF, GE, TS), pp. 2–16.
MODELSMoDELS-2005-BendraouGB #metamodelling #modelling #named #process #uml
UML4SPM: A UML2.0-Based Metamodel for Software Process Modelling (RB, MPG, XB), pp. 17–38.
MODELSMoDELS-2005-ForsterES #constraints #diagrams #modelling #process #quality
Activity Diagram Patterns for Modeling Quality Constraints in Business Processes (AF, GE, TS), pp. 2–16.
OOPSLAOOPSLA-2005-Vanderburg #agile #process #programming
A simple model of agile software processes — or — extreme programming annealed (GV), pp. 539–545.
LOPSTRLOPSTR-2005-SuenagaKY #approach #automation #generative #source code #type system
Extension of Type-Based Approach to Generation of Stream-Processing Programs by Automatic Insertion of Buffering Primitives (KS, NK, AY), pp. 98–114.
PADLPADL-2005-BenzakenCM #paradigm #query #xml
A Full Pattern-Based Paradigm for XML Query Processing (VB, GC, CM), pp. 235–252.
PADLPADL-2005-YangDRS #compilation #mobile #model checking #performance #process
A Provably Correct Compiler for Efficient Model Checking of Mobile Processes (PY, YD, CRR, SAS), pp. 113–127.
POPLPOPL-2005-GayN #communication #process #quantum
Communicating quantum processes (SJG, RN), pp. 145–157.
POPLPOPL-2005-GrumbergLST #approximate #multi
Proof-guided underapproximation-widening for multi-process systems (OG, FL, OS, MT), pp. 122–131.
RERE-2005-AlexanderRM #industrial #process #requirements #what
What Influences the Requirements Process in Industry? A Report on Industrial Practice (IFA, SR, NAMM), pp. 411–415.
RERE-2005-AraoGN #process #requirements
“Business Process” Oriented Requirements Engineering Process (TA, EG, TN), pp. 395–402.
RERE-2005-CalleleNS #game studies #industrial #process #requirements #video
Requirements Engineering and the Creative Process in the Video Game Industry (DC, EN, KS), pp. 240–252.
RERE-2005-MaidenR #case study #experience #process #requirements
Integrating Creativity into Requirements Processes: Experiences with an Air Traffic Management System (NAMM, SR), pp. 105–116.
RERE-2005-Nesland #framework #implementation #lessons learnt #process #requirements
Initial Lessons Learned from the Definition and Implementation of a Platform Requirements Engineering Process at Intel Corporation (SN), pp. 429–433.
RERE-2005-SongMHRN #concurrent #named #process #prototype #requirements #workflow
S-RaP: A Concurrent Prototyping Process for Refining Workflow-Oriented Requirements (XS, GM, BH, AR, CN), pp. 416–420.
SACSAC-2005-AversanoBT #assessment #impact analysis #process
Assessment and impact analysis for aligning business processes and software systems (LA, TB, MT), pp. 1338–1343.
SACSAC-2005-Brown #database #image #similarity
Issues in augmenting image databases to improve processing content-based similarity searches (LB), pp. 1254–1255.
SACSAC-2005-CaetanoST #process #using
Using roles and business objects to model and understand business processes (AC, ARS, JMT), pp. 1308–1313.
SACSAC-2005-KatayamaKN #learning #process
Reinforcement learning agents with primary knowledge designed by analytic hierarchy process (KK, TK, HN), pp. 14–21.
SACSAC-2005-LinZLY #performance #query #xml
Supporting efficient query processing on compressed XML files (YL, YZ, QL, JY), pp. 660–665.
SACSAC-2005-LiuSC #distributed #low cost #nondeterminism #query
Cost-efficient processing of MIN/MAX queries over distributed sensors with uncertainty (ZL, KCS, JC), pp. 634–641.
SACSAC-2005-LiWLC #mobile #realtime #transaction
Mobile real-time read-only transaction processing in data broadcast environments (GL, HW, YL, JC), pp. 1176–1177.
SACSAC-2005-Lopresti #evaluation #performance
Performance evaluation for text processing of noisy inputs (DPL), pp. 759–763.
SACSAC-2005-SchaadSW #case study #process
A case study of separation of duty properties in the context of the Austrian “eLaw” process (AS, PS, HW), pp. 1328–1332.
SACSAC-2005-WieringaG #coordination #correctness #design #process #trust
Value-oriented design of service coordination processes: correctness and trust (RW, JG), pp. 1320–1327.
SACSAC-2005-ZhaoCL #modelling #process #re-engineering
Applying agent technology to software process modeling and process-centered software engineering environment (XZ, KC, ML), pp. 1529–1533.
GTTSEGTTSE-2005-LammelM
Mappings Make Data Processing Go ’Round (RL, EM), pp. 169–218.
ICSEICSE-2005-Filho #process
Process issues in course projects (WPPF), pp. 629–630.
ICSEICSE-2005-Gamma #agile #development #distributed #eclipse #open source #process
Agile, open source, distributed, and on-time: inside the eclipse development process (EG), p. 4.
ICSEICSE-2005-LavazzaB #automation #execution #metric
Automated support for process-aware definition and execution of measurement plans (LL, GB), pp. 234–243.
ICSEICSE-2005-MaidenR #case study #process #requirements
Developing use cases and scenarios in the requirements process (NAMM, SR), pp. 561–570.
ICSEICSE-2005-SherrellS #education #process #question
Will earlier projects plus a disciplined process enforce SE principles throughout the CS curriculum? (LBS, SGS), pp. 619–620.
ICSEICSE-2005-YilmazKMPSGN #assurance #distributed #evolution #monitoring #performance #process #quality
Main effects screening: a distributed continuous quality assurance process for monitoring performance degradation in evolving software systems (CY, ASK, AMM, AAP, DCS, ASG, BN), pp. 293–302.
SPLCSPLC-2005-DiazTA #process
Supporting Production Strategies as Refinements of the Production Process (OD, ST, FIA), pp. 210–221.
SOSPSOSP-2005-EfstathopoulosKVFZKMKM #operating system #process
Labels and event processes in the Asbestos operating system (PE, MNK, SV, CF, DZ, EK, DM, MFK, RM), pp. 17–30.
AMOSTAMOST-2005-LiN #image #modelling #testing #validation #verification
Modeling for image processing system validation, verification and testing (XL, RN).
AMOSTAMOST-2005-SherriffNWV #estimation #fault #haskell #metric #using
Early estimation of defect density using an in-process Haskell metrics model (MS, NN, LAW, MAV).
ICLPICLP-2005-Saidi #bound #mining #process #using
Using CLP to Characterise Linguistic Lattice Boundaries in a Text Mining Process (ASS), pp. 418–420.
LICSLICS-2005-BaetenC #algebra #process #regular expression
Regular Expressions in Process Algebra (JCMB, FC), pp. 12–19.
LICSLICS-2005-Hillston #algebra #analysis #process
Process Algebras for Quantitative Analysis (JH), pp. 239–248.
RTARTA-2005-BouajjaniT #on the #process #reachability #set #term rewriting
On Computing Reachability Sets of Process Rewrite Systems (AB, TT), pp. 484–499.
VMCAIVMCAI-2005-Bozzelli #model checking #process #term rewriting
Model Checking for Process Rewrite Systems and a Class of Action-Based Regular Properties (LB), pp. 282–297.
CBSECBSE-2004-Nierstrasz #process
Putting Change at the Center of the Software Process (ON), pp. 1–4.
ASEASE-2004-KalinowskiT04a #framework #named #process
ISPIS: A Framework Supporting Software Inspection Processes (MK, GHT), pp. 392–393.
DACDAC-2004-ChoiPR #algorithm #novel #process
Novel sizing algorithm for yield improvement under process variation in nanometer technology (SHC, BCP, KR), pp. 454–459.
DACDAC-2004-KogelM #energy
Heterogeneous MP-SoC: the solution to energy-efficient signal processing (TK, HM), pp. 686–691.
DACDAC-2004-RajVW #process
A methodology to improve timing yield in the presence of process variations (SR, SBKV, JMW), pp. 448–453.
DACDAC-2004-ShiB #automation #communication #data type #fixpoint #optimisation
Automated fixed-point data-type optimization tool for signal processing and communication systems (CS, RWB), pp. 478–483.
DACDAC-2004-SrivastavaSB #optimisation #power management #process #statistics #using
Statistical optimization of leakage power considering process variations using dual-Vth and sizing (AS, DS, DB), pp. 773–778.
DATEDATE-DF-2004-BoschettiSB #architecture #configuration management #image #runtime
A Run-Time Reconfigurable Datapath Architecture for Image Processing Applications (MRB, ISS, SB), pp. 242–247.
DATEDATE-DF-2004-PapaefstathiouKZ #network #performance
Software Processing Performance in Network Processors (IP, GK, NZ), pp. 186–191.
DATEDATE-DF-2004-RenWBLLD #design
A Domain-Specific Cell Based ASIC Design Methodology for Digital Signal Processing Applications (BR, AW, JB, KL, WL, WWMD), pp. 280–285.
DATEDATE-v1-2004-BrunelNFGL #development #named #process
SoftContract: an Assertion-Based Software Development Process that Enables Design-by-Contract (JYB, MDN, AF, PG, LL), pp. 358–363.
DATEDATE-v1-2004-ChenKS #process #scheduling
Configuration-Sensitive Process Scheduling for FPGA-Based Computing Platforms (GC, MTK, US), pp. 486–493.
DATEDATE-v1-2004-StefanovZTKD #approach #design #network #process #using
System Design Using Kahn Process Networks: The Compaan/Laura Approach (TS, CZ, AT, BK, EFD), pp. 340–345.
DATEDATE-v2-2004-GrunewaldNPR #multi #network
A Mapping Strategy for Resource-Efficient Network Processing on Multiprocessor SoC (MG, JCN, MP, UR), pp. 758–763.
DATEDATE-v2-2004-VerbauwhedeSPK #architecture #design #embedded #energy #multi #performance
Architectures and Design Techniques for Energy Efficient Embedded DSP and Multimedia Processing (IV, PS, CP, BK), pp. 988–995.
PODSPODS-2004-NashL #data access #first-order #query
Processing First-Order Queries under Limited Access Patterns (AN, BL), pp. 307–318.
SIGMODSIGMOD-2004-BabuW #adaptation #named #query
StreaMon: An Adaptive Engine for Stream Query Processing (SB, JW), pp. 931–932.
SIGMODSIGMOD-2004-BalazinskaBS #distributed
Load Management and High Availability in the Medusa Distributed Stream Processing System (MB, HB, MS), pp. 929–930.
SIGMODSIGMOD-2004-ChenDZ #named #performance #xpath
BLAS: An Efficient XPath Processing System (YC, SBD, YZ), pp. 47–58.
SIGMODSIGMOD-2004-Galindo-LegariaSW #query #sql
Query Processing for SQL Updates (CAGL, SS, FW), pp. 844–849.
SIGMODSIGMOD-2004-IvesHW #adaptation #integration #query
Adapting to Source Properties in Processing Data Integration Queries (ZGI, AYH, DSW), pp. 395–406.
SIGMODSIGMOD-2004-JiangLW #performance #query
Efficient Processing of Twig Queries with OR-Predicates (HJ, HL, WW), pp. 59–70.
SIGMODSIGMOD-2004-KramerS #framework #named #pipes and filters
PIPES — A Public Infrastructure for Processing and Exploring Streams (JK, BS), pp. 925–926.
SIGMODSIGMOD-2004-MarklRSLP #optimisation #query #robust
Robust Query Processing through Progressive Optimization (VM, VR, DES, GML, HP), pp. 659–670.
SIGMODSIGMOD-2004-MokbelXA #database #incremental #named #query #scalability
SINA: Scalable Incremental Processing of Continuous Queries in Spatio-temporal Databases (MFM, XX, WGA), pp. 623–634.
VLDBVLDB-2004-AhmadC #distributed #query
Networked Query Processing for Distributed Stream-Based Applications (YA, ), pp. 456–467.
VLDBVLDB-2004-BalkeG #database #multi #query
Multi-objective Query Processing for Database Systems (WTB, UG), pp. 936–947.
VLDBVLDB-2004-BalminOBCP #framework #query #using #xml #xpath
A Framework for Using Materialized XPath Views in XML Query Processing (AB, , KSB, RC, HP), pp. 60–71.
VLDBVLDB-2004-BloomS #case study #data transformation
Managing Data from High-Throughput Genomic Processing: A Case Study (TB, TS), pp. 1198–1201.
VLDBVLDB-2004-BornhovdLHS #automation #case study #experience #framework #process
Integrating Automatic Data Acquisition with Business Processes — Experiences with SAP’s Auto-ID Infrastructure (CB, TL, SH, JS), pp. 1182–1188.
VLDBVLDB-2004-DeshpandeH #adaptation #query
Lifting the Burden of History from Adaptive Query Processing (AD, JMH), pp. 948–959.
VLDBVLDB-2004-HarizopoulosA #towards #transaction
STEPS towards Cache-resident Transaction Processing (SH, AA), pp. 660–671.
VLDBVLDB-2004-LiuJ #information management #named
A-ToPSS: A Publish/Subscribe System Supporting Imperfect Information Processing (HL, HAJ), pp. 1281–1284.
VLDBVLDB-2004-XiaLOH #named #performance
Gorder: An Efficient Method for KNN Join Processing (CX, HL, BCO, JH), pp. 756–767.
VLDBVLDB-2004-XuJ #constraints #performance #personalisation
Efficient Constraint Processing for Highly Personalized Location Based Services (ZX, HAJ), pp. 1285–1288.
CSEETCSEET-2004-HazzanT #aspect-oriented #education #learning #process #re-engineering
Reflection Processes in the Teaching and Learning of Human Aspects of Software Engineering (OH, JET), pp. 32–38.
CSEETCSEET-2004-SuriS #challenge #education #process #re-engineering
Incorporating Software Process in an Undergraduate Software Engineering Curriculum: Challenges and Rewards (DS, MJS), pp. 18–23.
ITiCSEITiCSE-2004-NavarroH #education #game studies #named #process #re-engineering #simulation
SimSE: an educational simulation game for teaching the Software engineering process (EON, AvdH), p. 233.
ESOPESOP-2004-EnnalsSM #linear
Linear Types for Packet Processing (RE, RS, AM), pp. 204–218.
FASEFASE-2004-El-MaddahM #design #development #ide #process #requirements
The GOPCSD Tool: An Integrated Development Environment for Process Control Requirements and Design (IAMEM, TSEM), pp. 261–266.
FoSSaCSFoSSaCS-2004-GiambiagiSV #behaviour #calculus #infinity #on the #process
On the Expressiveness of Infinite Behavior and Name Scoping in Process Calculi (PG, GS, FDV), pp. 226–240.
FoSSaCSFoSSaCS-2004-MisloveOPW #markov #process
Duality for Labelled Markov Processes (MWM, JO, DP, JW), pp. 393–407.
FoSSaCSFoSSaCS-2004-ZuninoD #calculus #encryption #process
A Note on the Perfect Encryption Assumption in a Process Calculus (RZ, PD), pp. 514–528.
TACASTACAS-2004-BaierHHK #bound #markov #performance #process #reachability
Efficient Computation of Time-Bounded Reachability Probabilities in Uniform Continuous-Time Markov Decision Processes (CB, BRH, HH, JPK), pp. 61–76.
CSMRCSMR-2004-AprilAD #maintenance #process #quality
SMCMM Model to Evaluate and Improve the Quality of the Software Maintenance Process (AA, AA, RRD), pp. 243–248.
CSMRCSMR-2004-Rosso #architecture #lessons learnt #mobile #performance #process #product line
The Process of and the Lessons Learned from Performance Tuning of a Product Family Software Architecture for Mobile Phones (CDR), pp. 270–278.
CSMRCSMR-2004-ZaidmanD #clustering #execution #process
Managing Trace Data Volume through a Heuristical Clustering Process Based on Event Execution Frequency (AZ, SD), pp. 329–338.
ICSMEICSM-2004-EverittTT #architecture #evaluation #process #validation
Validating and Improving an Existing Software Architectural Evaluation Process (TE, RTT, JDT), pp. 417–421.
ICSMEICSM-2004-LamoreauxOP #process #testing
A Process for Improving Software Testability (TL, MOK, MP), p. 502.
WCREWCRE-2004-ZouLKTM #modelling #process
Model-Driven Business Process Recovery (YZ, TCL, KK, TT, RM), pp. 224–233.
PEPMPEPM-2004-LawallMD #design #implementation #process #scheduling
Invited application paper: language design for implementing process scheduling hierarchies (JLL, GM, HD), pp. 80–91.
PLDIPLDI-2004-Appel #process #proving #revisited #social #source code #theorem
Social processes and proofs of theorems and programs, revisited (AWA), p. 170.
CIAACIAA-2004-Cojocaru #assembly #process #simulation
Simulating the Process of Gene Assembly in Ciliates (LC), pp. 308–309.
DLTDLT-2004-JonoskaS #algebra #modelling #process
Algebraic and Topological Models for DNA Recombinant Processes (NJ, MS), pp. 49–62.
ICALPICALP-2004-BusiGZ #calculus #process #recursion #replication
Comparing Recursion, Replication, and Iteration in Process Calculi (NB, MG, GZ), pp. 307–319.
ICALPICALP-2004-DengS #algebra #mobile #process #towards
Towards an Algebraic Theory of Typed Mobile Processes (YD, DS), pp. 445–456.
IFMIFM-2004-Willemse #algebra #automaton #hybrid #process
Embeddings of Hybrid Automata in Process Algebra (TACW), pp. 343–362.
SEFMSEFM-2004-PangPE #abstraction #parallel #process
Abstraction of Parallel Uniform Processes with Data (JP, JvdP, MVE), pp. 14–23.
SEFMSEFM-2004-TangW #mobile #process #towards
Towards Mobile Processes in Unifying Theories (XT, JW), pp. 44–53.
SFMSFM-2004-BaetenR #algebra #process #termination
Timed Process Algebra (With a Focus on Explicit Termination and Relative-Timing) (JCMB, MAR), pp. 59–97.
ICFPICFP-2004-Honda #logic #process
From process logic to program logic (KH), pp. 163–174.
CSCWCSCW-2004-SunXSC #collaboration #word
Operational transformation for collaborative word processing (DS, SX, CS, DC), pp. 437–446.
AdaEuropeAdaEurope-2004-Gasperoni #ada
Vector Processing in Ada (FG), pp. 321–331.
CAiSECAiSE-2004-KaldeichS #approach #process
Data Warehouse Methodology: A Process Driven Approach (CK, JOeS), pp. 536–549.
CAiSECAiSE-2004-SofferW #analysis #process
Goal-Driven Analysis of Process Model Validity (PS, YW), pp. 521–535.
CAiSECAiSE-2004-ZdravkovicJ #process
Cooperation of Processes through Message Level Agreement (JZ, PJ), pp. 564–579.
EDOCEDOC-2004-KazhamiakinPR #framework #process #requirements
A Framework for Integrating Business Processes and Business Requirements (RK, MP, MR), pp. 9–20.
ICEISICEIS-v1-2004-ChertourasC #approach #case study #enterprise #process #re-engineering
ERP Based Business Process Reengineering in a Human Resources Department: A Case Study Approach (KC, TC), pp. 78–83.
ICEISICEIS-v1-2004-PaparoZL #automation #generative #process
Proposal for Automating the Generation Process of Questionnaires to Measure the Satisfaction Level of Software Users (MP, SZ, MIL), pp. 113–118.
ICEISICEIS-v1-2004-SadiqOSS #process
Facilitating Business Process Management with Harmonized Messaging (SWS, MEO, WS, KAS), pp. 30–36.
ICEISICEIS-v1-2004-SchieferM #correlation #monitoring #process
Correlating Events for Monitoring Business Processes (JS, CM), pp. 320–327.
ICEISICEIS-v1-2004-UrbanKDJS #component #integration #rule-based
An Event Processing System for Rule-Based Component Integration (SDU, SK, SWD, YJ, AS), pp. 312–319.
ICEISICEIS-v1-2004-VerdierC #health #information management #process
Health Care Process Based on the ABC Model Through a Meta-Structured Information System (CV, GC), pp. 149–154.
ICEISICEIS-v1-2004-VizcainoSP #maintenance #process #reuse
Supporting Knowledge Reuse During the Software Maintenance Process through Agents (AV, JPS, MP), pp. 397–402.
ICEISICEIS-v1-2004-WangJL #process #transaction
A Transaction Model for Long Running Business Processes (JW, BJ, JL), pp. 267–274.
ICEISICEIS-v2-2004-BarkerD #database #transaction
An Intelligent Tutoring System for Database Transaction Processing (SB, PD), pp. 197–203.
ICEISICEIS-v2-2004-CastilhoPL #clustering #information management #process
Informed K-Means: A Clustering Process biased by Prior Knowledge (WFC, HAdP, ML), pp. 469–475.
ICEISICEIS-v2-2004-DykC #education #mining #process #statistics
Warehousing and Mining of Higher Education Data by Means of Statistical Process Control (LVD, PC), pp. 110–115.
ICEISICEIS-v2-2004-MarirM #named #process #reasoning
CBR-BPR: A Case-Based Reasoning Tool for Business Process Redesign (FM, SLM), pp. 363–368.
ICEISICEIS-v2-2004-PachonVRST #industrial #process
Practical Application of KDD Techniques to an Industrial Process (VP, JMV, FR, JCRS, JMT), pp. 309–314.
ICEISICEIS-v3-2004-AllouiO #architecture #process #uml #using
Describing Software-Intensive Process Architectures Using a UML-Based ADL (IA, FO), pp. 201–208.
ICEISICEIS-v3-2004-BringelCT #approach #modelling #process #quality #towards
Business Process Modeling Towards Data Quality: A Organizational Engineering Approach (HB, AC, JMT), pp. 565–568.
ICEISICEIS-v3-2004-CaetanoST #modelling #process
Business Process Modeling with Objects and Roles (AC, ARS, JMT), pp. 109–114.
ICEISICEIS-v3-2004-ChristianssonC #component #modelling #process #specification
Reasons for Integrating Software Component Specifications in Business Process Models (MTC, BC), pp. 647–650.
ICEISICEIS-v3-2004-Daute #data flow #process #re-engineering #representation #uml
Representation of Business Information Flow with an Extension for UML: From Business Processes to Object-Orientated Software Engineering (OD), pp. 569–572.
ICEISICEIS-v3-2004-Hair #analysis #concept #design #named #process
U_VBOOM: Unified Analysis and Design Process Based on the Viewpoint Concept (AH), pp. 217–224.
ICEISICEIS-v3-2004-Kanungo #imperative #ontology
Integrating Process- and Object-Approaches: An Ontological Imperative (SK), pp. 237–244.
ICEISICEIS-v3-2004-KaracapilidisA #collaboration #modelling #process
Enhancing Collaboration in Business Process Modelling (NIK, EA), pp. 403–410.
ICEISICEIS-v3-2004-LeymonerieJCBO #approach #architecture #formal method #process #towards
Towards a Business Process Formalisation Based on an Architecture Centred Approach (FL, LBDJ, SC, CB, FO), pp. 513–518.
ICEISICEIS-v3-2004-MacedoST #information management #perspective #process #standard
Information Systems Support for Manufacturing Processes — The Standard S95 Perspective (PM, PS, JMT), pp. 552–555.
ICEISICEIS-v3-2004-MarinBSM #process #re-engineering #requirements
Requirements Engineering for the Business Process Re-Engineering: An Example in the Agro-Food Supply Chain (FM, PB, FS, LM), pp. 538–542.
ICEISICEIS-v3-2004-Mirbel #development #information management #polymorphism #process #scalability
A Polymorphic Context Frame to Support Scalability and Evolvability of Information System Development Processes (IM), pp. 131–138.
ICEISICEIS-v3-2004-Modrak #evaluation #process
Evaluation of Structural Properties for Business Processes (VM), pp. 619–622.
ICEISICEIS-v3-2004-PalkovitsRW #modelling #process
Process Modelling — Burden or Relief? Living Process Modelling Within a Public Organisation (SP, TR, MW), pp. 94–102.
ICEISICEIS-v3-2004-PoloniaOO #health #information management #perspective #process
A Business Process Model for Public Health Information Systems: A Governmental Perspective (DFP, IO, JLO), pp. 573–576.
ICEISICEIS-v3-2004-ShishkovD #component #modelling #process #specification
Aligning Business Process Modeling and Software Specification in a Component-Based Way, the Advantages of SDBC (BS, JLGD), pp. 103–108.
ICEISICEIS-v3-2004-ThomI #process
Integrating a Pattern Catalogue in a Business Process Model (LHT, CI), pp. 651–654.
ICEISICEIS-v4-2004-Carneiro #challenge #learning #network #process
Learning Processes and the Role of Technological Networks as an Innovative Challenge (AC), pp. 497–501.
ICEISICEIS-v4-2004-ChangFT #automation #framework #integration #process
Automatic Integration of Inter-Enterprise Processes with Hierarchical Broker Framework (SFC, LCF, MYT), pp. 62–69.
ICEISICEIS-v4-2004-Debenham #multi #process #transaction
Managing E-Market Transaction Processes: Exploring the Limits of Process Management with a Multiagent System (JKD), pp. 322–330.
ICEISICEIS-v4-2004-Ezziane #design
Object-Process Methodology Applied to Agent Design (ZE), pp. 455–462.
ICEISICEIS-v4-2004-ToivonenPHM #distributed #interactive #process #protocol #using
Using Interaction Protocols in Distributed Construction Processes (ST, TP, HH, JUM), pp. 344–349.
CIKMCIKM-2004-ChenMDP #named #xml
EXPedite: a system for encoded XML processing (YC, GAM, SBD, SP), pp. 108–117.
CIKMCIKM-2004-KnabeT #distributed #query
Processing search queries in a distributed environment (FK, DT), pp. 492–494.
CIKMCIKM-2004-LuCL #approach #performance #xml
Efficient processing of XML twig patterns with parent child edges: a look-ahead approach (JL, TC, TWL), pp. 533–542.
CIKMCIKM-2004-SigurbjornssonKR #query #xpath
Processing content-oriented XPath queries (BS, JK, MdR), pp. 371–380.
CIKMCIKM-2004-WuCY #performance #query
Interval query indexing for efficient stream processing (KLW, SKC, PSY), pp. 88–97.
ECIRECIR-2004-Wilks #anti #information management #information retrieval #representation
IR and AI: Traditions of Representation and Anti-representation in Information Processing (YW), pp. 12–26.
ICMLICML-2004-AltunHS #classification #process #sequence
Gaussian process classification for segmenting and annotating sequences (YA, TH, AJS).
ICMLICML-2004-BleiJ #process
Variational methods for the Dirichlet process (DMB, MIJ).
ICMLICML-2004-GramacyLM #parametricity #process
Parameter space exploration with Gaussian process trees (RBG, HKHL, WGM).
ICMLICML-2004-XingSJ #process #type inference
Bayesian haplo-type inference via the dirichlet process (EPX, RS, MIJ).
ICPRICPR-v1-2004-Herbin #multi #process #robust
Robust Multihypothesis Discrimination of Controlled I.I.D. Processes (SH), pp. 200–203.
ICPRICPR-v1-2004-JohnstonFD #clustering #execution #grid #image #performance
An Event-Based Execution Model for Efficient Image Processing on Workstation Clusters and the Grid (DJJ, MF, ACD), pp. 732–735.
ICPRICPR-v1-2004-MaedaKI #clustering #data-driven #database #probability #process #segmentation
Segmentation of Range Data Based on A Stochastic Clustering Method with Competitive Process (MM, KK, KI), pp. 624–627.
ICPRICPR-v1-2004-ValienteACG #design #image #using
Structural Description of Textile and Tile Pattern Designs Using Image Processing (JMV, FA, CC, JMG), pp. 498–503.
ICPRICPR-v1-2004-YoshimotoDAT #architecture #performance #realtime
Confidence-Driven Architecture for Real-time Vision Processing and Its Application to Efficient Vision-Based Human Motion Sensing (HY, ND, DA, RiT), pp. 736–740.
ICPRICPR-v2-2004-ColleP #predict #process
Relaxation Labeling Processes for Protein Secondary Structure Prediction (GC, MP), pp. 355–358.
ICPRICPR-v2-2004-LiT #modelling #recognition #set
Influence of Language Models and Candidate Set Size on Contextual Post-processing for Chinese Script Recognition (YL, CLT), pp. 537–540.
ICPRICPR-v2-2004-TanakaT #image #probability
Probabilistic Image Processing based on the Q-Ising Model by Means of the Mean-Field Method and Loopy Belief Propagation (KT, DMT), pp. 40–43.
KDDKDD-2004-CohenS #integration #markov #process
Exploiting dictionaries in named entity extraction: combining semi-Markov extraction processes and data integration methods (WWC, SS), pp. 89–98.
LSOLSO-2004-FolkestadPT #case study #development #process
Effects of Software Process in Organization Development — A Case Study (HF, EP, BT), pp. 153–164.
LSOLSO-2004-RodriguezVMPF #how #maintenance #process
How to Manage Knowledge in the Software Maintenance Process (OMR, AV, AIMG, MP, JF), pp. 78–87.
SEKESEKE-2004-AvesaniBPS #approach #machine learning #process #requirements
Supporting the Requirements Prioritization Process. A Machine Learning approach (PA, CB, AP, AS), pp. 306–311.
SEKESEKE-2004-HessI #ontology #preprocessor
Applying Ontologies in the KDD Pre-Processing Phase (GNH, CI), pp. 366–371.
SEKESEKE-2004-Kajko-MattssonES #maintenance #process
Mapping CM3: Upfront Maintenance on CGE&Y’s Process Model (MKM, KE, ZS), pp. 205–212.
SEKESEKE-2004-LuciaFFT #named #process
ADAMS: an Artefact-based Process Support System (ADL, FF, RF, GT), pp. 31–36.
SEKESEKE-2004-Zhao #process
Application Semiotics Engineering Process (GZ), pp. 354–359.
SIGIRSIGIR-2004-Cowans #information retrieval #process #using
Information retrieval using hierarchical dirichlet processes (PJC), pp. 564–565.
SIGIRSIGIR-2004-CrestanL #natural language
Natural language processing for browse help (EC, CdL), pp. 488–489.
UMLUML-2004-KnappKZH #modelling #process #web
Modeling Business Processes in Web Applications with ArgoUWE (AK, NK, GZ, HMH), pp. 69–83.
GPCEGPCE-2004-HauserK #compilation #execution #graph #process
Compiling Process Graphs into Executable Code (RH, JK), pp. 317–336.
GPCEGPCE-2004-LawallMM #component #design #domain-specific language #independence #on the
On Designing a Target-Independent DSL for Safe OS Process-Scheduling Components (JLL, AFLM, GM), pp. 436–455.
POPLPOPL-2004-Yoshida #dependent type #higher-order #mobile #process
Channel dependent types for higher-order mobile processes (NY), pp. 147–160.
RERE-2004-DorrPK #process #requirements
Requirements Engineering Process Improvement Based on an Information Model (JD, BP, MK), pp. 70–79.
RERE-2004-HaggeL #process
Patterns for the RE Process (LH, KL), pp. 90–99.
RERE-2004-HeumesserH #case study #experience #process #requirements
Experiences in Managing an Automotive Requirements Engineering Process (NH, FH), pp. 322–327.
RERE-2004-HillWN #approach #non-functional #process #requirements
Quantifying Non-Functional Requirements: A Process Oriented Approach (RLH, JW, KN), pp. 352–353.
RERE-2004-OmasreiterM #case study #process #specification
A Context-Driven Use Case Creation Process for Specifying Automotive Driver Assistance Systems (HO, EM), pp. 334–339.
RERE-2004-Padula #process #requirements
Requirements Engineering Process Selection at Hewlett-Packard (AP), pp. 296–300.
SACSAC-2004-AversanoBCET #approach #evolution #process #towards #using
Evolution of business processes towards eBusiness using a critiquing approach (LA, TB, GC, RE, MT), pp. 1351–1358.
SACSAC-2004-BeckerAN
Organizational engineering in public administrations: a method for process-oriented egovernment projects (JB, LA, BN), pp. 1385–1389.
SACSAC-2004-BeyerKMJL #architecture #flexibility #network #towards
Towards a flexible, process-oriented IT architecture for an integrated healthcare network (MB, KK, CM, SJ, RL), pp. 264–271.
SACSAC-2004-BunchBBCSUHPM #monitoring #process
Software agents for process monitoring and notification (LB, MRB, JMB, MMC, NS, AU, JH, MP, VM), pp. 94–100.
SACSAC-2004-CesariniMT #process #workflow
Carrying on the e-learning process with a workflow management engine (MC, MM, RT), pp. 940–945.
SACSAC-2004-SchaadM #bibliography #case study #process
Separation, review and supervision controls in the context of a credit application process: a case study of organisational control principles (AS, JDM), pp. 1380–1384.
SACSAC-2004-SignoriniG #design #object-oriented #process #specification
Object-oriented design for the specification of the blood clotting cascade: a class-structured view of bio-computing processes (JS, PG), pp. 207–208.
ICSEICSE-2004-DuboisF #component #evaluation #modelling #off the shelf #process
Models and Processes for the Evaluation of COTS Components (ED, XF), pp. 759–760.
ICSEICSE-2004-Francois #architecture #data type #distributed #hybrid #parallel
A Hybrid Architectural Style for Distributed Parallel Processing of Generic Data Streams (ARJF), pp. 367–376.
ICSEICSE-2004-Keenan #agile #analysis #problem #process
Agile Process Tailoring and probLem analYsis (APTLY) (FK), pp. 45–47.
ICSEICSE-2004-Kirk #flexibility #process
A Flexible Software Process Model (DK), pp. 57–59.
ICSEICSE-2004-Thelin #estimation #fault #process
Team-Based Fault Content Estimation in the Software Inspection Process (TT), pp. 263–272.
HPCAHPCA-2004-MakineniI #architecture
Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor (SM, RRI), pp. 152–163.
HPDCHPDC-2004-ChenRA #data type #distributed #middleware #named
GATES: A Grid-Based Middleware for Processing Distributed Data Streams (LC, KR, GA), pp. 192–201.
OSDIOSDI-2004-DeanG #clustering #named #pipes and filters #scalability
MapReduce: Simplified Data Processing on Large Clusters (JD, SG), pp. 137–150.
VMCAIVMCAI-2004-Vanackere #encryption #process #scheduling
History-Dependent Scheduling for Cryptographic Processes (VV), pp. 16–29.
ASEASE-2003-Holz #approach #incremental
An Incremental Approach to Task-Specific Information Delivery in SE Processe (HH), pp. 295–298.
DACDAC-2003-BorgattiCSFILMPPR #configuration management #embedded #memory management #multi
A reconfigurable signal processing IC with embedded FPGA and multi-port flash memory (MB, LC, GDS, BF, DI, FL, GM, MP, MP, PLR), pp. 691–695.
DACDAC-2003-FerzliN #estimation #grid #power management #process #statistics
Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations (IAF, FNN), pp. 856–859.
DACDAC-2003-KiranJRN #behaviour #communication #complexity #effectiveness #modelling
A complexity effective communication model for behavioral modeling of signal processing applications (MNVSK, MNJ, PR, SKN), pp. 412–415.
DACDAC-2003-RamachandranJ #embedded #energy #memory management #named #performance
Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing (AR, MFJ), pp. 137–142.
DACDAC-2003-RongP #approach #markov #mobile #network
Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach (PR, MP), pp. 906–911.
DATEDATE-2003-HelmschmidtSRRMB #configuration management
Reconfigurable Signal Processing in Wireless Terminals (JH, ES, PR, SR, SdM, RB), pp. 20244–20249.
DATEDATE-2003-IwasakiNNNYONTOIE #multi #scalability
Single-Chip MPEG-2 422P@HL CODEC LSI with Multi-Chip Configuration for Large Scale Processing beyond HDTV Level (HI, JN, KN, KN, TY, MO, YN, YT, TO, MI, ME), pp. 20002–20007.
DATEDATE-2003-LykakisMVNPSKPR #performance #protocol
Efficient Field Processing Cores in an Innovative Protocol Processor System-on-Chip (GL, NM, KV, NAN, SP, GS, GEK, DNP, DIR), pp. 20014–20019.
DATEDATE-2003-PaulinPB #challenge #framework #network
Network Processing Challenges and an Experimental NPU Platform (PGP, CP, EB), pp. 20064–20069.
DATEDATE-2003-StolbergBFMFMKKP #architecture #manycore #named
HiBRID-SoC: A Multi-Core System-on-Chip Architecture for Multimedia Signal Processing Applications (HJS, MB, LF, SM, SF, XM, MBK, HK, PP), pp. 20008–20013.
DATEDATE-2003-SurendraBN #network #reuse
Enhancing Speedup in Network Processing Applications by Exploiting Instruction Reuse with Flow Aggregation (GS, SB, SKN), pp. 10784–10789.
DATEDATE-2003-Vissers #architecture #configuration management #parallel
Parallel Processing Architectures for Reconfigurable Systems (KAV), pp. 10396–10397.
DocEngDocEng-2003-KurtevB #architecture #modelling #xml
Model driven architecture based XML processing (IK, KvdB), pp. 246–248.
DRRDRR-2003-HurstB #health
Unconstrained invoice processing in the health insurance domain (MH, DB), pp. 172–178.
ICDARICDAR-2003-CarbonnelA #optimisation #recognition #word
Lexical Post-Processing Optimization for Handwritten Word Recognition (SC, ÉA), pp. 477–481.
ICDARICDAR-2003-GrecoILSS
Bank-check Processing System: Modifications Due to the New European Currency (NG, DI, MGL, AS, LS), pp. 343–348.
ICDARICDAR-2003-PitrelliP #recognition #verification
Confidence-Scoring Post-Processing for Off-Line Handwritten-Character Recognition Verification (JFP, MPP), p. 278–?.
ICDARICDAR-2003-ShimanukiKW #process #recognition
Recognition of Folding Process from Origami Drill Books (HS, JK, TW), pp. 550–554.
SIGMODSIGMOD-2003-BabcockCD #approximate #query
Dynamic Sample Selection for Approximate Query Processing (BB, SC, GD), pp. 539–550.
SIGMODSIGMOD-2003-ChandrasekaranCDFHHKMRS #data flow #named
TelegraphCQ: Continuous Dataflow Processing (SC, OC, AD, MJF, JMH, WH, SK, SM, FR, MAS), p. 668.
SIGMODSIGMOD-2003-CuiOTS #in memory #memory management #performance
Contorting High Dimensional Data for Efficient Main Memory Processing (BC, BCO, JS, KLT), pp. 479–490.
SIGMODSIGMOD-2003-DasGR #approximate #data type
Approximate Join Processing Over Data Streams (AD, JG, MR), pp. 40–51.
SIGMODSIGMOD-2003-GangulyGR #set
Processing Set Expressions over Continuous Update Streams (SG, MNG, RR), pp. 265–276.
SIGMODSIGMOD-2003-GuptaS #query #xpath
Stream Processing of XPath Queries with Predicates (AKG, DS), pp. 419–430.
SIGMODSIGMOD-2003-Mamoulis #performance
Efficient Processing of Joins on Set-valued Attributes (NM), pp. 157–168.
SIGMODSIGMOD-2003-Zeller #data type #sql #transaction
NonStop SQL/MX Publish/Subscribe: Continuous Data Streams in Transaction Processing (HZ), p. 636.
VLDBVLDB-2003-BhattacharjeePMLCH #clustering #multi #performance #query
Efficient Query Processing for Multi-Dimensionally Clustered Tables in DB2 (BB, SP, TM, TL, LC, MH), pp. 963–974.
VLDBVLDB-2003-DiaoF #query #xml
Query Processing for High-Volume XML Message Brokering (YD, MJF), pp. 261–272.
VLDBVLDB-2003-GolabO #data type #multi #query
Processing Sliding Window Multi-Joins in Continuous Queries over Data Streams (LG, MTÖ), pp. 500–511.
VLDBVLDB-2003-HalversonBKKRTVWND #query #xml
Mixed Mode XML Query Processing (AH, JB, LG, AK, RK, ANR, FT, SV, YW, JFN, DJD), pp. 225–236.
VLDBVLDB-2003-Koch #approach #performance #query #xml
Efficient Processing of Expressive Node-Selecting Queries on XML Data in Secondary Storage: A Tree Automata-based Approach (CK), pp. 249–260.
VLDBVLDB-2003-KoudasS #data type #query #tutorial
Data Stream Query Processing: A Tutorial (NK, DS), p. 1149.
VLDBVLDB-2003-PapadiasZMT #database #network #query
Query Processing in Spatial Network Databases (DP, JZ, NM, YT), pp. 802–813.
VLDBVLDB-2003-WangC #query
Avoiding Ordering and Grouping In Query Processing (XW, MC), pp. 826–837.
VLDBVLDB-2003-YuPM #distributed #query
Distributed Top-N Query Processing with Possibly Uncooperative Local Systems (CTY, GP, WM), pp. 117–128.
CSEETCSEET-2003-KlappholzBP #development #process #towards
Assessing Attitude Towards, Knowledge of, and Ability to Apply, Software Development Process (DK, LB, DP), pp. 268–278.
CSEETCSEET-2003-KlappholzBPD04 #assessment #development #education #process #tool support
Tools for Outcomes Assessment of Education and Training in the Software Development Process (DK, LB, DP, PD), p. 331–?.
CSEETCSEET-2003-Mason #development #process #re-engineering
Aligning Workforce Development & Software Process Improvement Strategy for Accelerated Adoption of Software Engineering Capability (JM), pp. 70–77.
CSEETCSEET-2003-OvalleE04 #experience #process
Training Experts in the Fundamentals: An Experience in Providing Software Engineers with the Basis of Software Process Improvement (NKO, HWE), p. 339–?.
CSEETCSEET-2003-WildeWKEK #case study #evolution #experience
Some Experiences with Evolution and Process-Focused Projects (NW, LJW, LBK, DDE, EAK), pp. 242–250.
ITiCSEITiCSE-2003-Goold #process
Providing process for projects in capstone courses (AG), pp. 26–29.
ITiCSEITiCSE-2003-GrayGR #design #process
Extending CRC cards into a complete design process (KAG, MG, SR), p. 226.
ITiCSEITiCSE-2003-MoodyS #analysis #assurance #education #process #quality #requirements
Incorporating quality assurance processes into requirements analysis education (DLM, GS), pp. 74–78.
ITiCSEITiCSE-2003-RatcliffeTET #collaboration #design #process
Capturing collaborative designs to assist the pedagogical process (MR, LT, WE, BJT), pp. 79–83.
ESOPESOP-2003-GeilenB #execution #network #process #requirements
Requirements on the Execution of Kahn Process Networks (MG, TB), pp. 319–334.
FASEFASE-2003-JinELJ #analysis #composition #data flow #network #process
Modular Analysis of Dataflow Process Networks (YJ, RE, CL, JWJ), pp. 184–199.
TACASTACAS-2003-KhomenkoK #branch #petri net #process
Branching Processes of High-Level Petri Nets (VK, MK), pp. 458–472.
CSMRCSMR-2003-BaldassarreBCV #maintenance #process #reuse
Full Reuse Maintenance Process for Reducing Software Degradatio (MTB, AB, DC, CAV), p. 289–?.
CSMRCSMR-2003-JeyaramanKR #legacy #process #re-engineering
Reengineering Legacy Application to E-Business with Modified Rational Unified Process (GJ, KK, VVSR), p. 143–?.
ICSMEICSM-2003-ForbesB #deployment #hardware #process
Improving Hardware, Software, and Training Deployment Processes (JAF, ERB), pp. 377–380.
WCREWCRE-2003-BaumstarkGW #representation #source code
Extracting an Explicitly Data-Parallel Representation of Image-Processing Programs (LBBJ, MG, LMW), pp. 24–35.
WCREWCRE-2003-LiuS #process #reverse engineering
Reverse Engineering the Process of Small Novice Software Teams (YL, ES), pp. 102–112.
SASSAS-2003-Monniaux #abstract interpretation #markov #process #source code
Abstract Interpretation of Programs as Markov Decision Processes (DM), pp. 237–254.
STOCSTOC-2003-AdlerHKV #network #peer-to-peer #probability #process
A stochastic process on the hypercube with applications to peer-to-peer networks (MA, EH, RMK, VVV), pp. 575–584.
ICALPICALP-2003-BergstraB #algebra #equivalence #process
Polarized Process Algebra and Program Equivalence (JAB, IB), pp. 1–21.
ICALPICALP-2003-Mayr #bisimulation #equivalence #process
Undecidability of Weak Bisimulation Equivalence for 1-Counter Processes (RM), pp. 570–583.
SEFMSEFM-2003-MillerS #animation #process #specification #testing
Supporting the Software Testing Process through Specification Animation (TM, PAS), pp. 14–23.
SEFMSEFM-2003-Sangiorgi #mobile #process #using
Taming Mobile Processes Using Types (DS), p. 64–?.
ICFPICFP-2003-HeerenHS #process #type inference
Scripting the type inference process (BH, JH, SDS), pp. 3–13.
AGTIVEAGTIVE-2003-HellerJ #development #distributed #graph #process #tool support
Graph-Based Tools for Distributed Cooperation in Dynamic Development Processes (MH, DJ), pp. 352–368.
AGTIVEAGTIVE-2003-HellerJ03a #development #process
Interorganizational Management of Development Processes (MH, DJ), pp. 427–433.
AGTIVEAGTIVE-2003-HellerSW #development #evolution #graph #process #specification
Graph-Based Specification of a Management System for Evolving Development Processes (MH, AS, BW), pp. 334–351.
AGTIVEAGTIVE-2003-HellerSW03a #evolution #process
Process Evolution Support in the AHEAD System (MH, AS, BW), pp. 454–460.
CAiSECAiSE-2003-GalanisWJD #peer-to-peer #query #scalability
Processing Queries in a Large Peer-to-Peer System (LG, YW, SRJ, DJD), pp. 273–288.
CAiSECAiSE-2003-GordijnW #approach #design #process
A Value-Oriented Approach to E-business Process Design (JG, RW), pp. 390–403.
CAiSECAiSE-2003-HallerS #consistency #execution #information management #peer-to-peer #process
Consistent Process Execution in Peer-to-Peer Information Systems (KH, HS), pp. 289–307.
CAiSECAiSE-2003-WangL #optimisation #query
Query Processing and Optimization for Regular Path Expressions (GW, ML), pp. 30–45.
EDOCEDOC-2003-JengSC #architecture #enterprise #process #realtime
An Agent-based Architecture for Analyzing Business Processes of Real-Time Enterprises (JJJ, JS, HC), pp. 86–97.
ICEISICEIS-v1-2003-Aaen #process
Software Process Improvement Defined (IA), pp. 169–176.
ICEISICEIS-v1-2003-Ahmed-NacerL #distributed #integration #modelling #process
Integration of Distributed Software Process Models (MAN, NL), pp. 495–498.
ICEISICEIS-v1-2003-Luna-RamirezGF #information management #metadata #repository #retrieval
Data Warehousing: A Repository Model for Metadata Storage and Retrieval Based on the Human Information Processing (ELR, FGM, MCFB), pp. 321–326.
ICEISICEIS-v1-2003-MangisengiEHW #query #xml
XML-Based OLAP Query Processing in a Federated Data Warehouses (OM, WE, JH, ERW), pp. 71–78.
ICEISICEIS-v1-2003-MourinhoCCGLC #process
Dynamic System of Management and Business Processes (NM, MITC, NC, AG, ERL, JC), pp. 554–557.
ICEISICEIS-v1-2003-SivalankaA #process
Data Warehouse — Process to Develop (PNS, RA), pp. 441–444.
ICEISICEIS-v1-2003-ToffolonD #evaluation #process
Software Application Packages Selection: An Evaluation Process Based on the Spiral Model (CT, SD), pp. 578–581.
ICEISICEIS-v2-2003-ChadesSC #markov #multi #process #using
Planning Cooperative Homogeneous Multiagent Systems Using Markov Decision Processes (IC, BS, FC), pp. 426–429.
ICEISICEIS-v2-2003-CorkillRLL #process
Live-Representation Process Management (DDC, ZBR, SEL, VRL), pp. 202–208.
ICEISICEIS-v2-2003-Debenham #constraints #people #process
Agents for High-Level Process Management: The Right Activities, People and Resources to Satisfy Process Constraints (JKD), pp. 434–437.
ICEISICEIS-v2-2003-FerneleyBCR #process #social
Bridging the Gap Between Social and Technical Processes to Facilitate IT Enabled Knowledge Dissemination (EF, BB, JAC, YR), pp. 74–82.
ICEISICEIS-v2-2003-GhediniB #approach
A Process-Centered Approach for Kdd Application Management (CG, KB), pp. 238–243.
ICEISICEIS-v2-2003-KallimaniIBK #knowledge base #process
Integrated Knowledge Based Process in Manufacturing Environment (VPK, DI, PB, JVK), pp. 465–468.
ICEISICEIS-v2-2003-NoorPW #process
A Web-Based Decision Support System for Tendering Processes (NMMN, KNP, BW), pp. 124–131.
ICEISICEIS-v2-2003-OuazzaneZME #database #monitoring #network #performance #process
The Use of Neural Network and Database Technology to Reengineer the Technical Process of Monitoring Coal Combustion Efficiency (KO, KZ, FM, KE), pp. 495–498.
ICEISICEIS-v3-2003-AgerfalkWKBEM #process #research
Flexibile Processes and Method Configuration: Outline of a Joint Industry-Academia Research Project (PJÅ, KW, FK, GB, ME, KM), pp. 519–522.
ICEISICEIS-v3-2003-AldersonSSS #process
Defending Essential Processes (AA, AS, HS, BS), pp. 197–200.
ICEISICEIS-v3-2003-AlmeidaBPT #component #development #distributed #incremental #named #process
IPM: An Incremental Process Model for Distributed Component-Based Software Development (ESdA, CDPB, AFdP, LCT), pp. 221–232.
ICEISICEIS-v3-2003-ArbaouiHOTV #difference #enterprise #process
Languages and Mechanisms for Software Processes and Manufacturing Enterprise Processes: Similarities and Differences (SA, AH, FO, FT, HV), pp. 474–482.
ICEISICEIS-v3-2003-CostagliolaFRTL #agile #development #modelling #process #tool support
Rapid Development of Process Modeling Tools (GC, RF, MR, GT, ADL), pp. 301–306.
ICEISICEIS-v3-2003-DoriRS #development #named
OPCAT — A Bimodal Case Tool for Object-Process Based System Development (DD, IRB, AS), pp. 286–291.
ICEISICEIS-v3-2003-HawryszkiewyczG #complexity #process
Managing the Complexity of Emergent Processes (IH, SG), pp. 319–325.
ICEISICEIS-v3-2003-LiLWWL #adaptation #elicitation #process #requirements
A Context-Aware User-Adaptive Supporting System for Goal-Oriented Requirements Elicitation Process (CL, HL, JW, QW, ML), pp. 347–356.
ICEISICEIS-v3-2003-ShishkovD #case study #process
Deriving Use Cases From Business Processes, the Advantages of Demo (BS, JLGD), pp. 138–146.
ICEISICEIS-v3-2003-Soffer #enterprise #process #requirements
Aligning an Enterprise System with Enterprise Requirements: An Iterative Process (PS), pp. 147–155.
ICEISICEIS-v4-2003-AndreouMLCPSS #development #e-commerce #process
E-Commerce Engineering: A Short vs. Long Software Process for the Development of E-Commerce Applications (ASA, SM, CL, CC, AP, GS, CS), pp. 10–20.
ICEISICEIS-v4-2003-Baghdadi #design #e-commerce #process
A Design Process for Deploying B2B E-Commerce Applications (YB), pp. 399–403.
ICEISICEIS-v4-2003-MorinS #information management #process #towards
Towards Agent Based Business Information Systems and Process Management (JHM, JS), pp. 288–295.
CIKMCIKM-2003-BroderCHSZ #evaluation #performance #process #query #retrieval #using
Efficient query evaluation using a two-level retrieval process (AZB, DC, MH, AS, JYZ), pp. 426–434.
CIKMCIKM-2003-HaradaHAKOT
Event analyzer: a tool for sequential data processing (LH, YH, NA, KK, TO, RT), pp. 172–174.
CIKMCIKM-2003-Onizuka #automaton #xml #xpath
Light-weight xPath processing of XML stream with deterministic automata (MO), pp. 342–349.
ECIRECIR-2003-SemeraroDLTL #information management #personalisation #process #profiling
A Personalized Information Search Process Based on Dialoguing Agents and User Profiling (GS, MD, PL, UT, ML), pp. 613–621.
ICMLICML-2003-EngelMM #approach #difference #learning #process
Bayes Meets Bellman: The Gaussian Process Approach to Temporal Difference Learning (YE, SM, RM), pp. 154–161.
ICMLICML-2003-Graepel #difference #equation #linear #process
Solving Noisy Linear Operator Equations by Gaussian Processes: Application to Ordinary and Partial Differential Equations (TG), pp. 234–241.
ICMLICML-2003-LangleyGBS #induction #modelling #process #robust
Robust Induction of Process Models from Time-Series Data (PL, DG, SDB, KS), pp. 432–439.
KDDKDD-2003-EirinakiVV #named #personalisation #process #semantics #taxonomy #using #web
SEWeP: using site semantics and a taxonomy to enhance the Web personalization process (ME, MV, IV), pp. 99–108.
SEKESEKE-2003-BlakeW #database #information management #navigation #process
Developmental and Operational Processes for Agent-Oriented Database Navigation for Knowledge Discovery (MBB, ABW), pp. 216–223.
SEKESEKE-2003-FresaAF #case study #development #maintenance #process
Corrective Maintenance Process: a Case Study from a Telecom Software Development and Maintenance Organization (AF, MCA, FF), pp. 39–45.
SEKESEKE-2003-Ginige #development #process #re-engineering
Re-engineering Software Development Process for eBusiness Application Development (AG), pp. 1–8.
SEKESEKE-2003-GrigoriCG #named #process
COO-flow: a Process Technology to Support Cooperative Processes (DG, FC, CG), pp. 663–670.
SEKESEKE-2003-NetiniantiE #approach #aspect-oriented #using #verification
Adding Verification Property of Inter-Processes Using Aspect-Oriented Approach (PN, TE), pp. 54–60.
SEKESEKE-2003-NiaziWZ #design #effectiveness #framework #implementation #process
A Framework for Guiding the Design of Effective Implementation Strategies for Software Process Improvement (MN, DW, DZ), pp. 366–371.
SEKESEKE-2003-WangBY03a #automation #design
Automated Software Design of Process-Control Systems (DW, FBB, ILY), pp. 467–474.
UMLUML-2003-Coad #agile #process
Agile Processes: Developing Your Own “Secret Recipes” (PC), p. 1.
PPDPPPDP-2003-EchahedPS #concurrent #process
Statically assuring secrecy for dynamic concurrent processes (RE, FP, WS), pp. 91–101.
PADLPADL-2003-CoelhoF #logic programming #type system #xml
Type-Based XML Processing in Logic Programming (JC, MF), pp. 273–285.
POPLPOPL-2003-SchmittS #calculus #distributed #higher-order #process
The m-calculus: a higher-order distributed process calculus (AS, JBS), pp. 50–61.
REFSQREFSQ-J-2002-AurumW03 #process #requirements
The fundamental nature of requirements engineering activities as a decision-making process (AA, CW), pp. 945–954.
RERE-2003-Barnes #ambiguity #convergence #design #process #requirements #using
Using Convergent Design Processes to Surface Hidden Ambiguity and Conflict in Requirements (RJB), p. 281.
RERE-2003-ChardonD #approach #imperative #metric #process #requirements
One Approach to the Metric Baselining Imperative for Requirements Processes (RC, MD), p. 292.
RERE-2003-JarkeFMS #process #requirements #traceability
Media-Assisted Product and Process Requirements Traceability in Supply Chains (MJ, OF, MM, MS), pp. 375–376.
ESEC-FSEESEC-FSE-2003-CangussuDM #approach #monitoring #process #statistics #testing #using
Monitoring the software test process using statistical process control: a logarithmic approach (JWC, RAD, APM), pp. 158–167.
ESEC-FSEESEC-FSE-2003-Dyba #empirical #process #scalability
Factors of software process improvement success in small and large organizations: an empirical study in the scandinavian context (TD), pp. 148–157.
ESEC-FSEESEC-FSE-2003-Osterweil #comprehension #process #re-engineering #research
Understanding process and the quest for deeper questions in software engineering research (LJO), pp. 6–14.
ESEC-FSEESEC-FSE-2003-PahlC #ontology #process #web #web service
Ontology support for web service processes (CP, MC), pp. 208–216.
ICSEICSE-2003-BagertM #education #process #re-engineering #using
Using a Web-Based Project Process Throughout the Software Engineering Curriculum (DJB, SAM), pp. 634–640.
ICSEICSE-2003-GantnerB #adaptation #bibliography #case study #experience #process
Experiences on Defining and Evaluating an Adapted Review Process (TG, TB), pp. 506–511.
ICSEICSE-2003-JohnsonKACMMZD #analysis #metric #process
Beyond the Personal Software Process: Metrics collection and analysis for the differently disciplined (PMJ, HK, JA, CC, CAM, JM, SZ, WEJD), pp. 641–646.
ICSEICSE-2003-Padberg #process #scheduling
A Software Process Scheduling Simulator (FP), pp. 816–817.
ICSEICSE-2003-ValettoK #adaptation #coordination #process #using
Using Process Technology to Control and Coordinate Software Adaptation (GV, GEK), pp. 262–273.
ICSEICSE-2003-ZageZ #analysis #fault #process #scalability
An Analysis of the Fault Correction Process in a Large-Scale SDL Production Model (DMZ, WMZ), pp. 570–577.
HPDCHPDC-2003-SievertC #policy #process
Policies for Swapping MPI Processes (OS, HC), pp. 104–113.
LCTESLCTES-2003-YuP #collaboration #embedded #energy
Energy-balanced task allocation for collaborative processing in networked embedded systems (YY, VKP), pp. 265–274.
FATESFATES-2003-BadriBN #approach #case study #collaboration #diagrams #formal method #process #testing #towards #uml
A Use Case Driven Testing Process: Towards a Formal Approach Based on UML Collaboration Diagrams (MB, LB, MN), pp. 223–235.
LICSLICS-2003-DanosD #approximate #markov #performance #process
Labelled Markov Processes: Stronger and Faster Approximations (VD, JD), pp. 341–350.
LICSLICS-2003-Jancar #parallel #process #similarity
Strong Bisimilarity on Basic Parallel Processes is PSPACE-complete (PJ), p. 218–?.
VMCAIVMCAI-2003-YangRS #encoding #logic #mobile #model checking #process #using #π-calculus
A Logical Encoding of the pi-Calculus: Model Checking Mobile Processes Using Tabled Resolution (PY, CRR, SAS), pp. 116–131.
CBSECBSE-2003-DijkmanAQ #component #correctness #process #verification
Verifying the Correctness of Component-Based Applications that Support Business Processes (RMD, JAA, DAQ), p. 8.
ASEASE-2002-CalderM #automation #communication #concurrent #process #verification
Automatic Verification of any Number of Concurrent, Communicating Processes (MC, AM), pp. 227–230.
ASEASE-2002-Le #process #tool support
Process Support for Tools Interoperability (ATL), p. 314.
DACDAC-2002-ParkMJ #framework #information management #mobile #personalisation #smarttech
The wearable motherboard: a framework for personalized mobile information processing (PMIP) (SP, KM, SJ), pp. 170–174.
DACDAC-2002-RaviRPS #design #framework #security
System design methodologies for a wireless security processing platform (SR, AR, NRP, MS), pp. 777–782.
DACDAC-2002-Shanbhag #energy #reliability
Reliable and energy-efficient digital signal processing (NRS), pp. 830–835.
DACDAC-2002-ThieleCGK #architecture #design #framework #trade-off
A framework for evaluating design tradeoffs in packet processing architectures (LT, SC, MG, SK), pp. 880–885.
DocEngDocEng-2002-BesR #multi #pretty-printing #process
A presentation language for controlling the formatting process in multimedia presentations (FB, CR), pp. 2–9.
DocEngDocEng-2002-NogaSL #lazy evaluation #xml
Lazy XML processing (MLN, SS, WL), pp. 88–94.
HTHT-2002-WangH #distributed #hypermedia #using #visual notation
Supporting distributed meetings using cooperative, visual, process-enabled hypermedia (WW, JMH), pp. 147–148.
SIGMODSIGMOD-2002-DobraGGR #data type #query
Processing complex aggregate queries over data streams (AD, MNG, JG, RR), pp. 61–72.
SIGMODSIGMOD-2002-JosifovskiSHL #named #query
Garlic: a new flavor of federated query processing for DB2 (VJ, PMS, LMH, ETL), pp. 524–532.
SIGMODSIGMOD-2002-RamanH #online #query
Partial results for online query processing (VR, JMH), pp. 275–286.
SIGMODSIGMOD-2002-ZhangMCMR #named #xquery
Rainbow: mapping-driven XQuery processing system (XZ, MM, SC, BM, EAR), p. 614.
VLDBVLDB-2002-GottlobKP #algorithm #performance #query #xpath
Efficient Algorithms for Processing XPath Queries (GG, CK, RP), pp. 95–106.
VLDBVLDB-2002-KarayannidisTSPMRFEB #clustering #query
Processing Star Queries on Hierarchically-Clustered Fact Tables (NK, AT, TKS, RP, VM, FR, RF, KE, RB), pp. 730–741.
VLDBVLDB-2002-Moerkotte #database
Incorporating XSL Processing into Database Engines (GM), pp. 107–118.
VLDBVLDB-2002-SayalCDS #process
Business Process Cockpit (MS, FC, UD, MCS), pp. 880–883.
CSEETCSEET-2002-BernsteinKK #process #student
Eliminating Aversion to Software Process in Computer Science Students and Measuring the Results (LB, DK, CK), pp. 90–99.
CSEETCSEET-2002-BernsteinKK02a #comprehension #process #re-engineering #student #towards #tutorial
Tutorial 2: Measuring, Changing, and Measuring Changes in: Students’ Attitudes Toward and Understanding of Software Engineering Process (LB, DK, CK), p. 267.
CSEETCSEET-2002-HallingZKB #education #process #student
Teaching the Unified Process to Undergarduate Students (MH, WZ, MK, SB), pp. 148–159.
CSEETCSEET-2002-UmphressH #education #learning #process
Software Process as a Foundation for Teaching, Learning and Accrediting (DAU, JAHJ), pp. 160–169.
ITiCSEITiCSE-2002-PlekhanovaM #learning #process #re-engineering
Learning processes in software engineering projects (VP, WM), p. 230.
FASEFASE-2002-Cerone #algebra #implementation #process
Implementing Condition/Event Nets in the Circal Process Algebra (AC), pp. 49–63.
FoSSaCSFoSSaCS-2002-SchoppS #process #using #verification
Verifying Temporal Properties Using Explicit Approximants: Completeness for Context-free Processes (US, AKS), pp. 372–386.
TACASTACAS-2002-FersmanPY #automaton #decidability #process #scheduling
Timed Automata with Asynchronous Processes: Schedulability and Decidability (EF, PP, WY), pp. 67–82.
WRLAWRLA-2002-Honda #game studies #process
Processes and Games (KH), pp. 40–69.
CSMRCSMR-2002-CarM #maintenance #modelling #process
A Method for Modeling and Evaluating Software Maintenance Process Performances (ZC, BM), pp. 15–23.
CSMRCSMR-2002-LuciaPSP #analysis #empirical #maintenance #process
Empirical Analysis of Massive Maintenance Processes (ADL, AP, SS, EP), pp. 5–14.
ICSMEICSM-2002-LuciaPSV #estimation #maintenance #process
Early Effort Estimation of Massive Maintenance Processes (ADL, MDP, SS, GV), pp. 234–237.
ICSMEICSM-2002-RaoS #empirical #maintenance #outsourcing #process
Applicability of IEEE Maintenance Process for Corrective Maintenance Outsourcing — An Empirical Study (BSR, NLS), pp. 74–83.
ICSMEICSM-2002-TvedtCL #architecture #design #evaluation #process
Does the Code Match the Design? A Process for Architecture Evaluation (RTT, PC, ML), pp. 393–401.
WCREWCRE-2002-JrW #algorithm #image #parallel
Exposing Data-Level Parallelism in Sequential Image Processing Algorithms (LBBJ, LMW), pp. 245–254.
FLOPSFLOPS-2002-BarbosaO #calculus #induction #process
Coinductive Interpreters for Process Calculi (LSB, JNO), pp. 183–197.
DLTDLT-2002-HarjuR #assembly #process
Computational Processes in Living Cells: Gene Assembly in Ciliates (TH, GR), pp. 1–20.
DLTDLT-2002-Srba #similarity
Undecidability of Weak Bisimilarity for PA-Processes (JS), pp. 197–208.
ICALPICALP-2002-BreugelSW #markov #process #testing
Testing Labelled Markov Processes (FvB, SS, JW), pp. 537–548.
ICALPICALP-2002-GuhaIMS #data type #performance
Histogramming Data Streams with Fast Per-Item Processing (SG, PI, SM, MS), pp. 681–692.
ICALPICALP-2002-Kick #algebra #modelling #process
Bialgebraic Modelling of Timed Processes (MK), pp. 525–536.
ICALPICALP-2002-Srba #algebra #process #similarity
Strong Bisimilarity and Regularity of Basic Process Algebra Is PSPACE-Hard (JS), pp. 716–727.
FMFME-2002-Hall #correctness #development #process
Correctness by Construction: Integrating Formality into a Commercial Development Process (AH), pp. 224–233.
FMFME-2002-MotaBS #abstraction #process
Mechanical Abstraction of CSPZ Processes (AM, PB, AS), pp. 163–183.
FMFME-2002-Treharne #development #process #uml
Supplementing a UML Development Process with B (HT), pp. 568–586.
IFMIFM-2002-HoenickeO #process #specification
Combining Specification Techniques for Processes, Data and Time (JH, ERO), pp. 245–266.
IFMIFM-2002-LopezNR #algebra #probability #process
Stochastic Process Algebras Meet Eden (NL, MN, FR), pp. 29–48.
ICGTICGT-2002-LaraV #modelling #multi #petri net #process
Computer Aided Multi-paradigm Modelling to Process Petri-Nets and Statecharts (JdL, HV), pp. 239–253.
ICGTICGT-2002-ReisRASN #execution #graph transformation #process #semantics #using
Using Graph Transformation as the Semantical Model for Software Process Execution in the APSEE Environment (CALR, RQR, MMdA, HS, DJN), pp. 254–269.
CAiSECAiSE-2002-Bussler #inheritance #process
Process Inheritance (CB), pp. 701–705.
CAiSECAiSE-2002-HarrenT #framework #independence #modelling #process
A Framework for Tool-Independent Modeling of Data Acquisition Processes for Data Warehousing (AH, HT), pp. 733–736.
CAiSECAiSE-2002-MohanCS #approach #development #process #state machine
A State Machine Based Approach for a Process Driven Development of Web-Applications (RM, MAC, JS), pp. 52–66.
CAiSECAiSE-2002-SoderstromAJPW #framework #modelling #process #towards
Towards a Framework for Comparing Process Modelling Languages (ES, BA, PJ, EP, BW), pp. 600–611.
CAiSECAiSE-2002-SunL #algorithm #parallel #query
Parallel Query Processing Algorithms for Semi-structured Data (WS, KL), pp. 770–773.
CAiSECAiSE-2002-VassiliadisSS #logic #modelling #on the #process
On the Logical Modeling of ETL Processes (PV, AS, SS), pp. 782–786.
CAiSECAiSE-2002-WetzelK #architecture #concept #process #workflow
Serviceflow Beyond Workflow? Concepts and Architectures for Supporting Inter-organizational Service Processes (IW, RK), pp. 500–515.
EDOCEDOC-2002-CariouBJ #architecture #distributed #implementation #process
An Architecture and a Process for Implementing Distributed Collaborations (EC, AB, JMJ), pp. 132–143.
EDOCEDOC-2002-HansonNK #integration #process
Conversation Support for Business Process Integration (JEH, PN, SK), pp. 65–74.
EDOCEDOC-2002-KoehlerTK #consistency #implementation #process #verification
From Business Process Model to Consistent Implementation: A Case for Formal Verification Methods (JK, GT, SK), p. 96–?.
EDOCEDOC-2002-PiccinelliEZS #automation #framework #interface #process #web #web service
Web Service Interfaces for Inter-Organisational Business Processes: An Infrastructure for Automated Reconciliation (GP, WE, CZ, KS), pp. 285–292.
EDOCEDOC-2002-YanoHU #collaboration #framework #process
Collaboration Management Framework for Integrating B-to-B and Internal Processes (KY, HH, SU), pp. 75–83.
ICEISICEIS-2002-AllouiCO #logic #monitoring #process
Taming Process Deviations by Logic Based Monitoring (IA, SC, FO), pp. 716–724.
ICEISICEIS-2002-Bider #modelling #process #requirements
Business Process Modeling as a Method of Requirements Engineering (IB), pp. 13–15.
ICEISICEIS-2002-Cantone #empirical #metric #modelling #process #re-engineering
Experimental Software Engineering: Role and Impact of Measurement Models on Empirical Processes (GC), p. 3.
ICEISICEIS-2002-CastelaTGL #analysis #bibliography #modelling #process #validation
Survey, Analysis and Validation of Information for Business Process Modeling (NC, JMT, AG, ERL), pp. 803–806.
ICEISICEIS-2002-CheungCD #design #process #standard
Supporting Engineering Design Process with an Intelligent Compliance Agent: A Way to Ensure a Standard Complied Process (LYCC, PWHC, RD), pp. 341–349.
ICEISICEIS-2002-GalatescuG #ontology #process #re-engineering
Ontologies Supporting Business Process Re-Engineering (AG, TG), pp. 602–609.
ICEISICEIS-2002-HarrenT #design #process
A Process Model for Enterprise-Wide Design of Data Acquisition for Data Warehousing (AH, HT), pp. 107–114.
ICEISICEIS-2002-IochpeT #analysis #aspect-oriented #process #workflow
Inferring Aspects of the Organizational Structure through Workflow Process Analysis (CI, LHT), pp. 758–763.
ICEISICEIS-2002-KamogawaM #architecture #process #towards
Towards a New Business Process Architecture (TK, MJM), pp. 823–829.
ICEISICEIS-2002-KimT #communication #design #enterprise #modelling #ontology #process #using
Designing Business Processes and Communication Structures for E-Business Using Ontology-Based Enterprise Models with Mathematical Models (HMK, KDT), pp. 635–641.
ICEISICEIS-2002-LuzuriagaMC #communication #elicitation #enterprise #network #process #requirements
Managing Enterprise Communication Networks to Improve the Requirements Elicitation Process (JML, RM, AC), pp. 770–775.
ICEISICEIS-2002-NikolaidouA #automation #process
Introducing Business Process Automation in Dynamic Banking Activities (MN, DA), pp. 776–781.
ICEISICEIS-2002-PrikladnickiPAMP #development #distributed #process #requirements #specification
Requirements Specification Model in a Software Development Process inside a Physically Distributed Environment (RP, FP, JLNA, MdCM, AP), pp. 830–834.
ICEISICEIS-2002-Rodriguez-Luna #process #word
Stemming Process in Spanish Words with the Successor Variety Method. Methodology and Result (MRL), pp. 838–842.
ICEISICEIS-2002-SatoH #enterprise #process #project management #prototype
Developing Quick Iterative Process Prototyping for Project Management: Linking ERP and BPE (RS, KH), pp. 851–854.
ICEISICEIS-2002-Sierra-AlonsoCMP #development #information management #process #requirements #web
Incorporating Knowledge Engineering Techniques to Requirements Capture in the MIDAS Web Applications Development Process (ASA, PC, EM, JEPM), pp. 782–787.
ICEISICEIS-2002-Snoeck #constraints #modelling #process #sequence
Sequence Constraints in Business Modelling and Business Process Modelling (MS), pp. 683–690.
CIKMCIKM-2002-Al-KhalifaJ #multi #query #xml
Multi-level operator combination in XML query processing (SAK, HVJ), pp. 134–141.
CIKMCIKM-2002-FegarasLBC #query #xml
Query processing of streamed XML data (LF, DL, SB, VC), pp. 126–133.
CIKMCIKM-2002-PaquesLP #adaptation #named #query #self
Ginga: a self-adaptive query processing system (HP, LL, CP), pp. 655–658.
ICMLICML-2002-Bonet #algorithm #markov #process
An epsilon-Optimal Grid-Based Algorithm for Partially Observable Markov Decision Processes (BB), pp. 51–58.
ICMLICML-2002-LangleySTD #modelling #process
Inducing Process Models from Continuous Data (PL, JNS, LT, SD), pp. 347–354.
ICPRICPR-v1-2002-Baggenstoss #classification
The Chain-Rule Processor: Optimal Classification Through Signal Processing (PMB), pp. 230–234.
ICPRICPR-v1-2002-HaroE #learning #video
Learning Video Processing by Example (AH, IAE), pp. 487–491.
ICPRICPR-v1-2002-KubotaA #generative #image #linear
Virtual View Generation by Linear Processing of Two Differently Focused Images (AK, KA), pp. 504–507.
ICPRICPR-v1-2002-KuleshPS #recognition #using #video
Video Clip Recognition Using Joint Audio-Visual Processing Model (VK, VAP, IKS), pp. 500–503.
ICPRICPR-v1-2002-LohRW #3d #modelling #process #using
Refining 3D Models Using a Two-Stage Neural Network-Based Iterative Process (AWKL, MR, GAWW), pp. 172–175.
ICPRICPR-v1-2002-MuralikrishnanNR #clustering #correlation #functional #novel #process
Process Mapping and Functional Correlation in Surface Metrology: A Novel Clustering Application (BM, KN, JR), pp. 29–32.
ICPRICPR-v2-2002-Chen
Signal Processing for Preserving the Environment (CHC), p. 523.
ICPRICPR-v2-2002-ChoCWS #adaptation #classification #data type #image #learning #representation #robust
Robust Learning in Adaptive Processing of Data Structures for Tree Representation Based Image Classification (SYC, ZC, ZW, WCS), pp. 108–111.
ICPRICPR-v2-2002-DrotDMZ #image #process #segmentation
Object Point Processes for Image Segmentation (SD, XD, HLM, JZ), pp. 913–916.
ICPRICPR-v2-2002-WachsmuthS #analysis #image #probability #process #speech
Integrated Analysis of Speech and Images as a Probabilistic Decoding Process (SW, GS), pp. 588–592.
ICPRICPR-v3-2002-BeaudoinB #fourier #image
An Accurate Discrete Fourier Transform for Image Processing (NB, SSB), p. 935–?.
ICPRICPR-v3-2002-GrazziniTY #estimation #image #multi
Entropy Estimation and Multiscale Processing in Meteorological Satellite Images (JG, AT, HMY), p. 764–?.
ICPRICPR-v3-2002-IkedaNFHSAOK #image
Fingerprint Image Enhancement by Pixel-Parallel Processing (NI, MN, KF, TH, SS, TA, YO, HK), pp. 752–755.
ICPRICPR-v3-2002-KimCS #performance #recognition #string
Post-Processing Scheme for Improving Recognition Performance of Touching Handwritten Numeral Strings (KKK, YC, CYS), pp. 327–330.
ICPRICPR-v3-2002-LefevreMV #classification #process #segmentation
A Two Level Classifier Process for Audio Segmentation (SL, BM, NV), pp. 891–894.
ICPRICPR-v3-2002-SuW #identification #learning #process
A Learning Process to the Identification of Feature Points on Chinese Characters (YMS, JFW), pp. 93–97.
ICPRICPR-v3-2002-TanakaKFI #modelling #speech
Constructing Speech Processing Systems on Universal Phonetic Codes Accompanied with Reference Acoustic Models (KT, HK, NF, YI), pp. 728–731.
ICPRICPR-v3-2002-WangCZ #automation #classification #documentation #image
Hierarchical Content Classification and Script Determination for Automatic Document Image Processing (QW, ZC, RZ), pp. 77–80.
KDDKDD-2002-HoNN #process #visualisation
Visualization support for a user-centered KDD process (TBH, TDN, DN), pp. 519–524.
LSOLSO-2002-HolzM #agile #distributed #information management #process
Knowledge Management Support for Distributed Agile Software Processes (HH, FM), pp. 60–80.
LSOLSO-2002-NeuB #comprehension #learning #process #simulation
Learning and Understanding a Software Process through Simulation of Its Underlying Model (HN, UBK), pp. 81–93.
SEKESEKE-2002-AversanoC #modelling #process
Introducing eservices in business process models (LA, GC), pp. 481–488.
SEKESEKE-2002-BalustF #approach #modelling #process
A precedence-based approach for proactive control in software process modelling (JMRB, XF), pp. 457–468.
SEKESEKE-2002-Becker-KornstaedtR #concept #experience #maintenance #process
A concept to support process model maintenance through systematic experience capture (UBK, RR), pp. 465–468.
SEKESEKE-2002-CostagliolaFRSL #component #development #process #visual notation
A component-based visual environment development process (GC, RF, MR, GS, ADL), pp. 327–334.
SEKESEKE-2002-DonzelliS #case study #process #requirements
Handling the knowledge acquired during the requirements engineering process: a case study (PD, RS), pp. 673–679.
SEKESEKE-2002-Lozano-TelloG #component #how #named #process #using
BAREMO: how to choose the appropriate software component using the analytic hierarchy process (ALT, AGP), pp. 781–788.
SEKESEKE-2002-RaffoHV #framework #hybrid #metric #modelling #process #trade-off #using
Software process decision support: making process tradeoffs using a hybrid metrics, modeling and utility framework (DR, WH, JV), pp. 803–809.
SEKESEKE-2002-ReisRSN #process
A policy-based resource instantiation mechanism to automate software process management (CALR, RQR, HS, DJN), pp. 795–802.
SEKESEKE-2002-RusBH #analysis #development #empirical #process #simulation
Systematically combining process simulation and empirical data in support of decision analysis in software development (IR, SB, MH), pp. 827–833.
SEKESEKE-2002-Wang #process #re-engineering
A process centred environment for cooperative software engineering (AIW), pp. 469–472.
RERE-2002-Daneva #enterprise #maturity #process #requirements #using
Using Maturity Assessments to Understand the ERP Requirements Engineering Process (MD), pp. 255–262.
RERE-2002-FeckoL #process #requirements
Improving the Requirements Engineering Process for an Electronic Clearinghouse (MAF, CML), pp. 52–60.
RERE-2002-KnorrLFSKPBB #component #development #distributed #process
A Process Model for Distributed Development of Networked Mechatronic Components in Motor Vehicles (KK, AL, PTF, JS, DK, JP, MB, TB), p. 214.
SACSAC-2002-CarvalhoLL #coordination #functional #haskell #process
Coordinating functional processes with Haskell# (FHC, RMFL, RDL), pp. 393–400.
SACSAC-2002-OConnorC #comparison #process
Strategies for personal process improvement a comparison (RO, GC), pp. 1036–1040.
ICSEICSE-2002-AcunaLQ #process #validation
Human capacities in the software process: empiric validation (STA, CML, VEQ), p. 715.
ICSEICSE-2002-BasiliMPZ #lessons learnt #process #re-engineering
Lessons learned from 25 years of process improvement: the rise and fall of the NASA software engineering laboratory (VRB, FEM, RP, MVZ), pp. 69–79.
ICSEICSE-2002-Kruchten #named #tutorial
Tutorial: introduction to the rational unified process® (PK), p. 703.
ICSEICSE-2002-Newkirk #agile #process #programming
Introduction to agile processes and extreme programming (JN), pp. 695–696.
ICSEICSE-2002-NittoLSTT #execution #process #uml
Deriving executable process descriptions from UML (EDN, LL, MS, ET, MT), pp. 155–165.
ICSEICSE-2002-OsterweilKFS #lightweight #process #question
Lightweight vs. heavyweight processes: is this even the right question? (LJO, PK, MF, WS), p. 649.
ICSEICSE-2002-PonsB #correctness #development #process #reasoning
Reasoning about the correctness of software development process (CP, GB), p. 708.
SPLCSPLC-2002-GeyerB #on the #process #product line
On the Influence of Variabilities on the Application-Engineering Process of a Product Family (LG, MB), pp. 1–14.
SPLCSPLC-2002-Linden #architecture #bibliography #perspective #process #product line
Engineering Software Architectures, Processes and Platforms for System Families — ESAPS Overview (FvdL), pp. 383–398.
LICSLICS-2002-DesharnaisJGP #bisimulation #metric #probability #process
The Metric Analogue of Weak Bisimulation for Probabilistic Processes (JD, RJ, VG, PP), pp. 413–422.
LICSLICS-2002-NygaardW #process
Linearity in Process Languages (MN, GW), p. 433–?.
CBSECBSE-2001-WoodmanBLS #process #quality
Issues of CBD Product Quality and Process Quality (MW, OB, BL, FS), p. 9.
WICSAWICSA-2001-BernardoCD #algebra #architecture #detection #process
Detecting Architectural Mismatches in Process Algebraic Descriptions of Software Systems (MB, PC, LD), pp. 77–86.
ASEASE-2001-BastenB #clustering #partial order #process #reduction
Enhancing Partial-Order Reduction via Process Clustering (TB, DB), pp. 245–253.
DATEDATE-2001-AcquavivaBR #adaptation #algorithm #multi #power management #streaming
An adaptive algorithm for low-power streaming multimedia processing (AA, LB, BR), pp. 273–279.
DocEngDocEng-2001-McKechnieSL #case study #documentation #industrial #scalability #set
Computer assisted processing of large unstructured document sets: a case study in the construction industry (JM, SS, SL), pp. 11–17.
HTHT-2001-Wideroos #process #tool support
Awt (Associative writing tool): supporting writing process with a ZigZag based writing tool — work in progress (KW), pp. 35–36.
ICDARICDAR-2001-KimKCS #recognition #segmentation
Legal Amount Recognition Based on the Segmentation Hypotheses for Bank Check Processing (KKK, JHK, YC, CYS), pp. 964–967.
ICDARICDAR-2001-ZhaoL #documentation #kernel #recognition
High-Precision Two-Kernel Chinese Character Recognition in General Document Processing Systems (SLZ, HJL), pp. 617–621.
PODSPODS-2001-Schuldt #execution #order #process #protocol #transaction
Process Locking: A Protocol based on Ordered Shared Locks for the Execution of Transactional Processes (HS).
VLDBVLDB-2001-CasatiDGS #comprehension #exception #predict #process #quality
Improving Business Process Quality through Exception Understanding, Prediction, and Prevention (DG, FC, UD, MCS), pp. 159–168.
VLDBVLDB-2001-DayalHL #coordination #process #roadmap #state of the art
Business Process Coordination: State of the Art, Trends, and Open Issues (UD, MH, RL), pp. 3–13.
VLDBVLDB-2001-GarofalakisG #approximate #query
Approximate Query Processing: Taming the TeraBytes (MNG, PBG).
VLDBVLDB-2001-Kotidis #architecture #process
A Data Warehousing Architecture for Enabling Service Provisioning Process (YK), pp. 481–490.
VLDBVLDB-2001-Leymann #process #workflow
Managing Business Processes via Workflow Technology (FL).
VLDBVLDB-2001-MarronL #on the #xml
On Processing XML in LDAP (PJM, GL), pp. 601–610.
VLDBVLDB-2001-OoiYTJ #distance #performance
Indexing the Distance: An Efficient Method to KNN Processing (CY, BCO, KLT, HVJ), pp. 421–430.
VLDBVLDB-2001-WaasCB #approach #interactive #named #query #similarity
FeedbackBypass: A New Approach to Interactive Similarity Query Processing (IB, PC, FW), pp. 201–210.
VLDBVLDB-2001-WiesnerK #distributed #internet #named #query
Hyperqueries: Dynamic Distributed Query Processing on the Internet (AK, CW), pp. 551–560.
CSEETCSEET-2001-GrothR #all about #education #process #re-engineering
It’s All about Process: Project Oriented Teaching of Software Engineering (DPG, ELR), pp. 7–17.
CSEETCSEET-2001-Koch #process #quality
Personal Quality Management with the Personal Software Process (ASK), p. 43.
CSEETCSEET-2001-NavedaDEHL #design #process #re-engineering
Software Engineering: Is It Process? Is It Design? (Panel) (JFN, JLDH, HJCE, TBH, MJL), p. 195–?.
CSEETCSEET-2001-RobillardKd #education #process
YOOPEEDOO (UPEDU): A Process for Teaching Software Process (PNR, PK, Pd), pp. 18–26.
CSEETCSEET-2001-Williams #development #process #programming
Integrating Pair Programming into a Software Development Process (LAW), p. 27–?.
ITiCSEITiCSE-2001-Filho #development #education #process #requirements
Requirements for an educational software development process (WPPF), pp. 65–68.
ITiCSEITiCSE-2001-LancasterC #detection #fault #process #towards
Towards an error free plagarism detection process (TL, FC), pp. 57–60.
ITiCSEITiCSE-2001-OConnorCM #education #empirical #process
Software process improvement education: a European experiment (RO, GC, MM), p. 180.
ITiCSEITiCSE-2001-VoracekK #information management
International master’s program in information processing and telecommunications (JV, NKV), p. 181.
ESOPESOP-2001-Mitchell #analysis #calculus #polynomial #probability #process #protocol #security
Probabilistic Polynomial-Time Process Calculus and Security Protocol Analysis (JCM), pp. 23–29.
FoSSaCSFoSSaCS-2001-KrsticLP #algebra #category theory #process
Categories of Processes Enriched in Final Coalgebras (SK, JL, DP), pp. 303–317.
FoSSaCSFoSSaCS-2001-Stirling #decidability #parallel #process #set #similarity
Decidability of Weak Bisimilarity for a Subset of Basic Parallel Processes (CS), pp. 379–393.
TACASTACAS-2001-AndovaB #abstraction #algebra #probability #process
Abstraction in Probabilistic Process Algebra (SA, JCMB), pp. 204–219.
TACASTACAS-2001-RuysLKLM #algebra #analysis #partial order #probability #process #using
First Passage Time Analysis of Stochastic Process Algebra Using Partial Orders (TCR, RL, JPK, DL, MM), pp. 220–235.
CSMRCSMR-2001-PodnarM #analysis #maintenance #process #simulation #using
Software Maintenance Process Analysis Using Discrete-Event Simulation (IP, BM), pp. 192–195.
ICSMEICSM-2001-AversanoBLS #maintenance #process #workflow
Introducing Workflow Management in Software Maintenance Processes (LA, SB, ADL, SS), pp. 441–450.
ICSMEICSM-2001-CaivanoLV #comprehension #estimation #process #using
Software Renewal Process Comprehension using Dynamic Effort Estimation (DC, FL, GV), pp. 209–218.
ICSMEICSM-2001-HallRBB #empirical #industrial #maintenance #process
An Empirical Study of Maintenance Issues within Process Improvement Programmes in the Software Industry (TH, AR, NB, SB), pp. 422–430.
ICSMEICSM-2001-LuciaPPS #empirical #maintenance #process
Assessing Massive Maintenance Processes: An Empirical Study (ADL, AP, EP, SS), p. 451–?.
IWPCIWPC-2001-AversanoCS #case study #comprehension #maintenance #process
Understanding and Improving the Maintenance Process: A Method and Two Case Studies (LA, GC, SS), pp. 199–208.
IWPCIWPC-2001-MaltonSCDCR #automation #design
Processing Software Source Text in Automated Design Recovery and Transformation (AJM, KAS, JRC, TRD, DC, JR), pp. 127–134.
IWPCIWPC-2001-OBrienB #comprehension
Inference-Based and Expectation-Based Processing in Program Comprehension (MPO, JB), pp. 71–78.
CIAACIAA-2001-AlegriaAEEU #finite #natural language #using
Using Finite State Technology in Natural Language Processing of Basque (IA, MJA, NE, AE, RU), pp. 1–12.
FMFME-2001-SilvaSJ #clustering #hardware #parallel #process
Serialising Parallel Processes in a Hardware/Software Partitioning Context (LS, AS, GJ), pp. 344–363.
CHICHI-2001-Chao #interface #process
Doom as an interface for process management (DLC), pp. 152–157.
AdaEuropeAdaEurope-2001-Alves #ada #web #xml
Safe Web Forms and XML Processing with Ada (MAA), pp. 349–358.
CAiSECAiSE-2001-BielkowiczT #comparison #evaluation #process #specification
A Comparison and Evaluation of Data Requirement Specification Techniques in SSADM and the Unified Process (PB, TTT), pp. 46–59.
CAiSECAiSE-2001-DehnertR #process
Relaxed Soundness of Business Processes (JD, PR), pp. 157–170.
CAiSECAiSE-2001-FioriniLL #architecture #process #reuse
Process Reuse Architecture (STF, JCSdPL, CJPdL), pp. 284–298.
CAiSECAiSE-2001-KrogstieJ #flexibility #process
Flexible Support of Work Processes — Balancing the Support of Organisations and Workers (JK, HDJ), pp. 477–482.
CAiSECAiSE-2001-RalyteR #assembly #process
An Assembly Process Model for Method Engineering (JR, CR), pp. 267–283.
EDOCEDOC-2001-BretonB
Process-Centered Model Engineering (EB, JB), pp. 179–182.
EDOCEDOC-2001-VasconcelosCNSMT #framework #information management #modelling #process
A Framework for Modeling Strategy, Business Processes and Information Systems (AV, AC, JN, PS, RM, JMT), p. 69–?.
ICEISICEIS-v1-2001-AllouiO #architecture #component #distributed #process #using
Supporting Decentralised Software-Intensive Processes Using ZETA Component-Based Architecture Description Language (IA, FO), pp. 207–215.
ICEISICEIS-v1-2001-EstevesP #enterprise #implementation #process
Establishing the Importance of ERP Implementation — Critical Success Factors along ASAP Methodology Processes (JE, JAP), pp. 182–187.
ICEISICEIS-v1-2001-HergulaBH #query
Supporting Query Processing across Application Systems (KH, GB, TH), pp. 110–115.
ICEISICEIS-v1-2001-MarirY #architecture #database #design #named #object-oriented #process
IODBCON: An Integrated Object-Oriented Database System for Integrating Information about Architecture Design and Construction Processes (FM, YJY), pp. 36–43.
ICEISICEIS-v1-2001-TehZL #algorithm #automation #query
Automation Query Processing Selection Algorithms (YWT, ABZ, SPL), pp. 283–286.
ICEISICEIS-v2-2001-Ahmed-Nacer #approach #evolution #process
A Case Tool Approach for Software Process Evolution (MAN), pp. 761–765.
ICEISICEIS-v2-2001-BarjisC #approach #aspect-oriented #modelling #petri net #process
Integrating Organizational Semiotic Approach with the Temporal Aspects of Petri Nets for Business Process Modeling (JB, SC), pp. 745–752.
ICEISICEIS-v2-2001-Bosilj-Vuksic #e-commerce #perspective #process #re-engineering
Business Process Reengineering and E-Commerce: Croatian Perspective (VBV), pp. 815–820.
ICEISICEIS-v2-2001-CastelaTSG #modelling #process #uml
Business Process Modeling with UML (NC, JMT, ARdS, AG), pp. 679–685.
ICEISICEIS-v2-2001-CostaAD #process
The Meeting Report Process: Bridging EMS with PDA (CJC, PA, FD), pp. 821–826.
ICEISICEIS-v2-2001-CouletteCTT #component #process #reuse
Managing Processes through Base of Reusable Components (BC, XC, DTBT, TDT), pp. 608–618.
ICEISICEIS-v2-2001-HelmT #approach
An Approach for Totally Dynamic Forms Processing in Web-Based Applications (DJH, BWT), pp. 974–977.
ICEISICEIS-v2-2001-HikitaM #first-order #logic #modelling #ontology #process
Business Process Modeling Based on the Ontology and First-Order Logic (TH, MJM), pp. 717–723.
ICEISICEIS-v2-2001-IochpeT #process #workflow
Relying on the Organizational Structure to Model Workflow Processes (CI, LHT), pp. 740–744.
ICEISICEIS-v2-2001-PoelsD #approach #metric #modelling #process #re-engineering
An Integrated Approach of Modeling, Transformation and Measurement to Evaluate Business Process Re-Engineering (GP, GD), pp. 691–698.
ICEISICEIS-v2-2001-SinogasVCNMT #modelling #process #uml
Business Processes Extensions to UML Profile for Business Modeling (PS, AV, AC, JN, RM, JMT), pp. 673–678.
ICEISICEIS-v2-2001-ThramboulidisTK #development #distributed #framework #industrial #metric #object-oriented #process
An Object-Oriented Framework for the Development of Distributed Industrial Process Measurement and Control Systems (KT, CT, CK), pp. 583–589.
CIKMCIKM-2001-BressanDLLLNW #benchmark #metric #named #query #xml
X007: Applying 007 Benchmark to XML Query Processing Tool (SB, GD, ZL, MLL, YGL, UN, BW), pp. 167–174.
CIKMCIKM-2001-FerhatosmanogluAA #performance #query
Efficient Processing of Conical Queries (HF, DA, AEA), pp. 1–8.
CIKMCIKM-2001-HergulaH #how #integration #query
How Foreign Function Integration Conquers Heterogeneous Query Processing (KH, TH), pp. 215–222.
CIKMCIKM-2001-KimLJH #algorithm #hybrid #named #transaction
O-PreH: Optimistic Transaction Processing Algorithm based on Pre-Reordering in Hybrid Broadcast Environments (SK, SL, SYJ, CSH), pp. 553–555.
ICMLICML-2001-EngelM #embedded #learning #markov #process
Learning Embedded Maps of Markov Processes (YE, SM), pp. 138–145.
ICMLICML-2001-SingerV #implementation #learning #performance
Learning to Generate Fast Signal Processing Implementations (BS, MMV), pp. 529–536.
ICMLICML-2001-Thollard #algorithm #grammar inference #probability
Improving Probabilistic Grammatical Inference Core Algorithms with Post-processing Techniques (FT), pp. 561–568.
ICMLICML-2001-ZinkevichB #learning #markov #multi #process #symmetry
Symmetry in Markov Decision Processes and its Implications for Single Agent and Multiagent Learning (MZ, TRB), p. 632–?.
LSOLSO-2001-Differding #metric #modelling #process #quality #reuse
Reuse of Measurement Plans Based on Process and Quality Models (CMD), pp. 207–221.
LSOLSO-2001-HolzKM #information management
Task-Specific Knowledge Management in a Process-Centred SEE (HH, AK, FM), pp. 163–177.
LSOLSO-2001-Segal #case study #learning #process
Organisational Learning and Software Process Improvement: A Case Study (JS), pp. 68–82.
LSOLSO-2001-StarkloffP #approach #development #learning
Process-Integrated Learning: The ADVISOR Approach for Corporate Development (PS, KP), pp. 152–162.
SEKESEKE-2001-BarrosWT #analysis #process #project management #reuse
Scenario Oriented Project Management Knowledge Reuse within a Risk Analysis Process (MdOB, CMLW, GHT), pp. 37–44.
SEKESEKE-2001-GonnetL #design #framework #process
A Framework for Model Version Management in a Design Process (SG, HPL), pp. 260–267.
TOOLSTOOLS-EUROPE-2001-Abom01b #component #exclamation #process
Building Business Process Components in the e-Age (Business rules! don’t be an e-diot.) (LA), pp. 163–164.
TOOLSTOOLS-EUROPE-2001-Ramos-HernandezFBHBB #integration #object-oriented #process #using
Process Control Systems Integration Using Object Oriented Technology (DNRH, PJF, SB, SH, JMB, MJB), pp. 148–160.
TOOLSTOOLS-USA-2001-Henderson-SellersS #object-oriented #process
Transitioning to an Object-Oriented Process (BHS, MS), p. 381.
TOOLSTOOLS-USA-2001-MichaelOR
Natural-Language Processing Support for Developing Policy-Governed Software Systems (JBM, VLO, NCR), pp. 263–275.
TOOLSTOOLS-USA-2001-Miller #agile #evaluation #process
Agile Processes: An Evaluation (GGM), p. 384.
TOOLSTOOLS-USA-2001-Miller01a #agile #process
The Characteristics of Agile Software Processes (GGM), pp. 385–387.
LOPSTRLOPSTR-2001-FioravantiPP #infinity #process #program transformation #set #using #verification
Verification of Sets of Infinite State Processes Using Program Transformation (FF, AP, MP), pp. 111–128.
RERE-2001-ChungS #adaptation #architecture #metric
Process-Oriented Metrics for Software Architecture Adaptability (LC, NS), pp. 310–311.
RERE-2001-Kohl #process #requirements
Changes in the Requirements Engineering Processes for COTS-Based Systems (RK), p. 271.
RERE-2001-Miller #process #requirements
Business Implications on the Requirements Process (BM), p. 274.
SACSAC-2001-LarocheBS #composition #markov #process
A new decomposition technique for solving Markov decision processes (PL, YB, RS), pp. 12–16.
SACSAC-2001-StaudtKR #data flow #execution #process #semistructured data
Access to heterogeneous data sources for supporting business process execution (MS, JUK, UR), pp. 197–206.
SACSAC-2001-VuHT #algorithm #detection #image #performance #query
An efficient core-area detection algorithm for fast noise-free image query processing (KV, KAH, DAT), pp. 258–263.
FSEESEC-FSE-2001-MorisawaT #architecture #distributed #product line
An architectural style of product lines for distributed processing systems, and practical selection method (YM, KT), pp. 11–20.
FSEESEC-FSE-2001-ShullCT #empirical #process
An empirical methodology for introducing software processes (FS, JC, GT), pp. 288–296.
FSEESEC-FSE-2001-SliskiBCO #architecture #evolution #flexibility
An architecture for flexible, evolvable process-driven user-guidance environments (TJS, MPB, LAC, LJO), pp. 33–43.
ICSEICSE-2001-Abrahamsson #concept #development #process
Commitment Development in Software Process Improvement: Critical Misconceptions (PA), pp. 71–80.
ICSEICSE-2001-BalzerG #industrial #re-engineering
Process-Centered Software Engineering Environments: Academic and Industrial Perspectives (RB, VG), pp. 671–672.
ICSEICSE-2001-Cangussu #modelling #process #testing
Modeling and Controlling the Software Test Process (JWC), pp. 787–788.
ICSEICSE-2001-KoskinenPSSK #tool support #uml
Model Processing Tools in UML (JK, JP, PS, TS, KK), pp. 819–820.
ICSEICSE-2001-RedondoA #incremental #process #reuse #specification
Reuse of Verificatino Efforts and Incomplete Specifications in a Formalized, Iterative and Incremental Software Process (RPDR, JJPA), pp. 801–802.
ICSEICSE-2001-YounessiH #development #flexibility #named #object-oriented #process #uml
OPEN: A Flexible OO/CBD Process for Software-Intensive Systems Development, a UML Exposition (HY, BHS), pp. 713–714.
LDTALDTA-2001-Klint #collaboration #development #interactive #tool support
Collaborative Development of Interactive Language Processing Tools (PK), pp. 1–2.
LDTALDTA-2001-LammelR #prolog
Prological Language Processing (RL, GR), pp. 132–156.
HPDCHPDC-2001-ToonenALFGGBK #parallel #process
Interfacing Parallel Jobs to Process Managers (BRT, DA, ELL, ITF, WG, EG, RB, NTK), pp. 431–432.
LCTESLCTES-OM-2001-ZiegenbeinWRJE #analysis #process
Interval-Based Analysis of Software Processes (DZ, FW, KR, MJ, RE), pp. 94–101.
CAVCAV-2001-Parnas #documentation #process #verification
Software Documentation and the Verification Process (DLP), p. 1.
LICSLICS-2001-MitchellRST #analysis #calculus #probability #process #protocol #security
Probabilistic Polynominal-Time Process Calculus and Security Protocol Analysis (JCM, AR, AS, VT), pp. 3–5.
ASEASE-2000-BarberB #design #process #representation #reuse
Representing Technology to Promote Reuse in the Software Design Process (KSB, SB), pp. 285–288.
ASEASE-2000-ChaudetO #algebra #architecture #evolution #named #process
pi-SPACE: A Formal Architecture Description Language Based on Process Algebra for Evolving Software Systems (CC, FO), pp. 245–248.
DACDAC-2000-FenstermakerGKMT #architecture #design #metric #named #optimisation #process
METRICS: a system architecture for design process optimization (SF, DG, ABK, SM, BT), pp. 705–710.
DACDAC-2000-KockSWBKLVE #modelling #named
YAPI: application modeling for signal processing systems (EAdK, WJMS, PvdW, JYB, WMK, PL, KAV, GE), pp. 402–405.
DACDAC-2000-LevySMW #analysis #performance
A rank-one update method for efficient processing of interconnect parasitics in timing analysis (HL, WS, DM, JW), pp. 75–78.
DATEDATE-2000-ChangKK #architecture #memory management #video
A Memory Architecture with 4-Address Configurations for Video Signal Processing (SC, JSK, LSK), p. 746.
DATEDATE-2000-GirolaPV
Smart Antenna Receiver Based on a Single Chip Solution for GSM/DCS Baseband Processing (UG, AP, DV), pp. 181–185.
HTHT-2000-PohlP #analysis #authoring #documentation #hypermedia #process
Analysis of the authoring process of hypertext documents (MP, PP), pp. 240–241.
PODSPODS-2000-CalvaneseGLV #query
View-Based Query Processing for Regular Path Queries with Inverse (DC, GDG, ML, MYV), pp. 58–66.
SIGMODSIGMOD-2000-BerckenDS #algorithm #library #prototype #query
javax.XXL: A prototype for a Library of Query processing Algorithms (JVdB, JPD, BS), p. 588.
SIGMODSIGMOD-2000-ChenDLT #learning #named #query #web
Fact: A Learning Based Web Query Processing System (SC, YD, HL, ZT), p. 587.
SIGMODSIGMOD-2000-HellersteinA #adaptation #named #query
Eddies: Continuously Adaptive Query Processing (RA, JMH), pp. 261–272.
SIGMODSIGMOD-2000-MoonSL #adaptation #distance #multi
Adaptive Multi-Stage Distance Join Processing (HS, BM, SL), pp. 343–354.
VLDBVLDB-2000-CasatiS #automation #process
Process Automation as the Foundation for E-Business (FC, MCS), pp. 688–691.
VLDBVLDB-2000-ChakrabartiGRS #approximate #query #using
Approximate Query Processing Using Wavelets (KC, MNG, RR, KS), pp. 111–122.
VLDBVLDB-2000-DiaoLCT #learning #query #towards #web
Toward Learning Based Web Query Processing (YD, HL, SC, ZT), pp. 317–328.
VLDBVLDB-2000-JarkeKL #challenge #process
The Challenge of Process Data Warehousing (MJ, TL, JK), pp. 473–483.
VLDBVLDB-2000-PfoserJT #novel #query
Novel Approaches in Query Processing for Moving Object Trajectories (DP, CSJ, YT), pp. 395–406.
CSEETCSEET-2000-CannonHD #named #process #tutorial
Tutorial: Introduction to the Team Software Process (BC, TBH, JLDH), p. 315–?.
CSEETCSEET-2000-Lisack #case study #experience #process #student
The Personal Software Process in the Classroom: Student Reactions (an Experience Report) (SKL), pp. 169–175.
ITiCSEITiCSE-2000-Hilburn #exclamation #process
Teams need a process! (TBH), pp. 53–56.
ITiCSEITiCSE-2000-Thompson #learning #maturity #process
Learning process maturity (poster session) (ET), p. 195.
ESOPESOP-2000-HondaVY #behaviour #data flow #process
Secure Information Flow as Typed Process Behaviour (KH, VTV, NY), pp. 180–199.
FASEFASE-2000-Dierks #algebra #process #realtime #source code
A Process Algebra for Real-Time Programs (HD), pp. 66–81.
FoSSaCSFoSSaCS-2000-BerardLS #equivalence #parallel #performance #process #verification
Verifying Performance Equivalence for Timed Basic Parallel Processes (BB, AL, PS), pp. 35–47.
FoSSaCSFoSSaCS-2000-QuagliaW #mobile #on the #process
On Synchronous and Asynchronous Mobile Processes (PQ, DW), pp. 283–296.
TACASTACAS-2000-AlfaroKNPS #model checking #probability #process #representation #using
Symbolic Model Checking of Probabilistic Processes Using MTBDDs and the Kronecker Representation (LdA, MZK, GN, DP, RS), pp. 395–410.
TACASTACAS-2000-HelovuoV #process
Checking for CFFD-Preorder with Tester Processes (JH, AV), pp. 283–298.
WRLAWRLA-2000-Nakashima #bibliography #information management
Cyber Assistance for Situtated Human Information Processing Overview of the invited talk (HN), pp. 292–293.
WCREWCRE-2000-BianchiCV #legacy #process #re-engineering
Method and Process for Iterative Reengineering of Data in a Legacy System (AB, DC, GV), p. 86–?.
WCREWCRE-2000-BurdBD #analysis #evolution #process
Studying the Process of Software Change: An Analysis of Software Evolution (EB, SB, JD), pp. 232–239.
CIAACIAA-2000-Karttunen #finite #natural language #transducer
Applications of Finite-State Transducers in Natural Language Processing (LK), pp. 34–46.
ICALPICALP-2000-Konig #mobile #process #type system
Analysing Input/Output-Capabilities of Mobile Processes with a Generic Type System (BK0), pp. 403–414.
ICALPICALP-2000-Kucera #algorithm #performance #process #verification
Efficient Verification Algorithms for One-Counter Processes (AK), pp. 317–328.
ICALPICALP-2000-LugiezS #decidability #first-order #logic
Decidable First-Order Transition Logics for PA-Processes (DL, PS), pp. 342–353.
ICALPICALP-2000-Martin #metric #process
The Measurement Process in Domain Theory (KM), pp. 116–126.
ICALPICALP-2000-Mayr #bisimulation #complexity #on the #parallel #problem #process
On the Complexity of Bisimulation Problems for Basic Parallel Processes (RM), pp. 329–341.
IFMIFM-2000-Ameur #development #formal method #process
Cooperation of Formal Methods in an Engineering Based Software Development Process (YAA), pp. 136–155.
IFMIFM-2000-BoltonD #graph #process
Activity Graphs and Processes (CB, JD), pp. 77–96.
IFMIFM-2000-ButlerF #process
A Process Compensation Language (MJB, CF), pp. 61–76.
IFMIFM-2000-HermannsKMS #algebra #model checking #probability #process #towards
Towards Model Checking Stochastic Process Algebra (HH, JPK, JMK, MS), pp. 420–439.
CSCWCSCW-2000-Bernstein #how #process #tool support
How can cooperative work tools support dynamic group process? bridging the specificity frontier (AB), pp. 279–288.
CSCWCSCW-2000-TwidaleM #fault #process #robust
Coping with errors: the importance of process data in robust sociotechnical systems (MT, PFM), pp. 269–278.
CAiSECAiSE-2000-Kilpi #agile #empirical #metric #process
Managing the Software Process in the Middle of Rapid Growth: A Metrics Based Experiment Report from Nokia (TK), pp. 498–508.
CAiSECAiSE-2000-KoubarakisP #design #formal method #modelling #process
A Formal Model for Business Process Modeling and Design (MK, DP), pp. 142–156.
CAiSECAiSE-2000-Rein #lifecycle #process #specification
Specifying Processes with Dynamic Life Cycles (RvR), pp. 192–211.
CAiSECAiSE-2000-RupprechtFKR #experience #process
Capture and Dissemination of Experience about the Construction of Engineering Processes (CR, MF, HK, TR), pp. 294–308.
CAiSECAiSE-2000-SchusterGCB #modelling #multi #process
Modeling and Composing Service-Based nd Reference Process-Based Multi-enterprise Processes (HS, DG, AC, DB), pp. 247–263.
CAiSECAiSE-2000-VassiliadisQVJ #process
A Model for Data Warehouse Operational Processes (PV, CQ, YV, MJ), pp. 446–461.
EDOCEDOC-2000-PressoRB #named #process #uml
PILOTE: A Tool Suite to Support UML-Based Engineering Processes (MJP, GR, MB), pp. 242–251.
EDOCEDOC-2000-SuLMLL #concurrent #distributed #documentation #integration
Distributed and Concurrent Processing of Business Object Documents in Support of e-Enterprise Integration (SYWS, YL, JM, ML, HL), p. 107–?.
ICEISICEIS-2000-Bosilj-VuksicGH #diagrams #modelling #petri net #process
IDEF Diagrams and Petri Nets for Business Process Modelling: Suitability, Efficacy, and Complementary Use (VBV, GMG, VH), pp. 242–247.
ICEISICEIS-2000-BrunoT #information management #process
Process Enabled Information Systems (GB, MT), pp. 32–37.
ICEISICEIS-2000-CouletteTCT #component #process #re-engineering
RHODES, A Process Component Centered Software Engineering Environment (BC, TDT, XC, DTBT), pp. 253–260.
ICEISICEIS-2000-DietzB #modelling #petri net #process #requirements
Petri Net Expressions of Demo Process Models as a Rigid Foundation for Requirements Engineering (JLGD, JB), pp. 267–274.
ICEISICEIS-2000-KalininNSP #network #process
Usage of Instrument of Neural Networks in Control of Complex Chemical-Technological Processes (AK, LN, ES, SP), pp. 521–524.
ICEISICEIS-2000-LauderK #modelling #process
Statecharts for Business Process Modelling (AL, SK), pp. 310–314.
ICEISICEIS-2000-Morand #abduction #approach #diagrams #process #representation
Representation Process and Abductive Reasoning-An Experimental Approach of the Diagrammatic Case (BM), pp. 322–326.
ICEISICEIS-2000-OquendoPR #enterprise #modelling #process
Addressing Decision Making Issues in Enterprise Process Modelling (FO, KNP, IR), pp. 333–338.
ICEISICEIS-2000-RodriguesRH #how #process
How to Make KDD Process More Accessible to Users (MdFR, CR, PRH), pp. 209–216.
ICEISICEIS-2000-SaGBS #multi #process
Addressing Multiple Software Processes in Business (JS, SG, IB, AS), pp. 339–343.
CIKMCIKM-2000-Elworthy #database #image #natural language #retrieval #using
Retrieval from Captioned Image Databases Using Natural Language Processing (DE), pp. 430–437.
ICMLICML-2000-HallH #information retrieval #learning #multi #natural language
Learning Curved Multinomial Subfamilies for Natural Language Processing and Information Retrieval (KBH, TH), pp. 351–358.
ICMLICML-2000-WalkerWL #comprehension #fault #identification #natural language #using
Using Natural Language Processing and discourse Features to Identify Understanding Errors (MAW, JHW, IL), pp. 1111–1118.
ICPRICPR-v1-2000-AlvarezSD #image
Recursivity and PDE’s in Image Processing (, FSJ, RD), pp. 1242–1248.
ICPRICPR-v1-2000-FermullerA #process #statistics
The Statistics of Optical Flow: Implications for the Process of Correspondence in Vision (CF, YA), pp. 1119–1126.
ICPRICPR-v2-2000-BurrellP #algorithm #detection #learning #online #parametricity #probability #process
Sequential Algorithms for Detecting Changes in Acting Stochastic Processes and On-Line Learning of their Operational Parameters (AB, TPK), pp. 2656–2659.
ICPRICPR-v2-2000-HamadaSHT #automation #classification #image
Automated Construction of Image Processing Procedure Based on Misclassification Condition (TH, AS, JiH, JiT), pp. 2430–2433.
ICPRICPR-v2-2000-SongR #image
A Feature Space for Face Image Processing (QS, JR), pp. 2097–2100.
ICPRICPR-v2-2000-WohlerKA #classification #image #recognition #sequence
Pedestrian Recognition by Classification of Image Sequences — Global Approaches vs. Local Spatio-Temporal Processing (CW, UK, JKA), pp. 2540–2544.
ICPRICPR-v3-2000-FrankeKN #fuzzy #image #using
Fuzzy Image Processing by Using Dubois and Prade Fuzzy Norms (KF, MK, BN), pp. 3518–3521.
ICPRICPR-v3-2000-GarciaV #geometry #image
Acceleration of Thresholding and Labeling Operations through Geometric Processing of Gray-Level Images (MAG, BXV), pp. 3429–3432.
ICPRICPR-v3-2000-LagunovskyFB #image #tool support
Image Processing Tools for Fresco Restoration (DL, MF, GSdB), pp. 3330–3333.
ICPRICPR-v3-2000-SaitoKOS #image #sequence
Image Processing for Restoration of Heavily-Corrupted Old Film Sequences (TS, TK, TO, TS), pp. 3017–3020.
ICPRICPR-v3-2000-ToczyskiP #bias #estimation #geometry #linear #process
Complementary Linear Biases in Spatial Derivative Estimation for Improving Geometry-Driven Diffusion Processes (WDT, NP), pp. 3001–3006.
ICPRICPR-v4-2000-FolkersSS #multi #query #using
Processing Pictorial Queries with Multiple Instances Using Isomorphic Subgraphs (AF, HS, AS), pp. 4051–4054.
ICPRICPR-v4-2000-GarainC #approach #documentation
A Syntactic Approach for Processing Mathematical Expressions in Printed Documents (UG, BBC), pp. 4523–4526.
ICPRICPR-v4-2000-GeraudFD #algorithm #image #pattern matching #pattern recognition #recognition
Obtaining Genericity for Image Processing and Pattern Recognition Algorithms (TG, YF, ADL), pp. 4816–4819.
ICPRICPR-v4-2000-Perez-CortesAAL #parsing #probability
Stochastic Error-Correcting Parsing for OCR Post-Processing (JCPC, JCA, JA, RL), pp. 4405–4408.
ICPRICPR-v4-2000-SeinstraK #api #image #parallel
Transparent Parallel Image Processing by way of a Familiar Sequential API (FJS, DK), pp. 4824–4827.
ICPRICPR-v4-2000-YangY #documentation #image #robust #segmentation
A Robust Document Processing System Combining Image Segmentation with Content-Based Document Compression (YY, HY), pp. 4519–4522.
KDDKDD-2000-CrapoWWW #modelling #perspective #process #visualisation
Visualization and the process of modeling: a cognitive-theoretic view (AWC, LBW, WAW, TRW), pp. 218–226.
KDDKDD-2000-Farahat #hybrid #process
Hybrid Poisson process (AF), pp. 474–479.
KDDKDD-2000-HanC #information management #named #process #visualisation
RuleViz: a model for visualizing knowledge discovery process (JH, NC), pp. 244–253.
UMLUML-2000-BarrosDLMRW #architecture #concept #enterprise #process #uml
Processes, Roles, and Events: UML Concepts for Enterprise Architecture (APB, KD, ML, ZM, KR, AW), pp. 62–77.
OOPSLAOOPSLA-2000-KiernanC #middleware #query
Middleware object query processing with deferred updates and autonomous sources (JK, MJC), pp. 118–129.
TOOLSTOOLS-ASIA-2000-JiangLX #process #type system #uml
Embedding UML and Type Theory to Formalize the Process of Requirement Engineering (HJ, DL, XX), pp. 32–39.
TOOLSTOOLS-ASIA-2000-Taibi #development #hybrid #object-oriented #process
Hybrid Object-Oriented Method (HOOM): The Software Development Process (TT), pp. 8–17.
TOOLSTOOLS-EUROPE-2000-HartwichT #distributed #execution #object-oriented #performance
Operation Execution Groups: Efficient Operation Processing in Widely Distributed Object Systems (CH, ST), pp. 157–168.
TOOLSTOOLS-EUROPE-2000-Mitchell #process
Adding Value to the Unified Process (RM), p. 463.
TOOLSTOOLS-EUROPE-2000-SnoeckPD #architecture #modelling #object-oriented #process
An Architecture for Bridging OO and Business Process Modeling (MS, SP, GD), p. 132–?.
TOOLSTOOLS-USA-2000-Mitchell00a #process
Adding Value to the Unified Process (RM), pp. 435–436.
PPDPPPDP-2000-Panangaden #logic #probability #process
From logic to stochastic processes (abstract only) (PP), pp. 1–2.
REICRE-2000-AlcazarM #analysis #framework #process #requirements #specification
A Process Framework for Requirements Analysis and Specification (EGA, AM), p. 27–?.
REICRE-2000-HubbardSM #assessment #performance #process #requirements
An Assessment of the Relative Efficiency of a Facilitator-Driven Requirements Collection Process with Respect to the Conventional Interview Method (RH, CNS, NRM), pp. 178–188.
REICRE-2000-KnottMP #analysis #behaviour #modelling #object-oriented #process #using
Process Modeling for Object Oriented Analysis Using BORM Object Behavioral Analysis (RPK, VM, JP), pp. 7–16.
REICRE-2000-LavazzaV #metric #modelling #process #requirements
Enhancing Requirements and Change Management through Process Modeling and Measurement (LL, GV), pp. 106–118.
SACSAC-2000-Debenham #architecture #multi #performance #process
A Multi-Agent Architecture for Process Management Accommodates Unexpected Performance (JKD), pp. 15–19.
SACSAC-2000-MillerS #concurrent #csp #process
Eclectic CSP: A Language of Concurrent Processes (QM, BS), pp. 840–842.
SACSAC-2000-ToffolonD #coordination #framework #process #re-engineering
A Framework for Studying the Coordination Process in Software Engineering (CT, SD), pp. 851–857.
SACSAC-2000-Wainer #coordination #logic #process #representation
Logic Representation of Processes in Work Activity Coordination (JW), pp. 203–209.
SACSAC-2000-ZhangP #distributed #network #query #using
Distributed Query Processing Using Active Networks (ZZ, WP), pp. 374–380.
ICSEFoSE-2000-Fuggettap #process #roadmap
Software process: a roadmap (AF), pp. 25–34.
FSEFSE-2000-BernardoCD #algebra #architecture #formal method #on the #process
On the formalization of architectural types with process algebras (MB, PC, LD), pp. 140–148.
ICSEICSE-2000-Becker-KornstaedtSZ #process
Process engineering with SpearmintTM/EPG (UBK, LS, JZ), p. 791.
ICSEICSE-2000-CalioAB #process
Software process improvement by object technology (ESSI PIE 27785 — SPOT) (AC, MA, GB), pp. 641–647.
ICSEICSE-2000-CassLSMWO #interpreter #named #process
Little-JIL/Juliette: a process definition language and interpreter (AGC, BSL, SMSJ, EKM, AEW, LJO), pp. 754–757.
ICSEICSE-2000-Curtis00a #lessons learnt #process #tutorial
Software process improvement (tutorial session): best practices and lessons learned (BC), p. 828.
ICSEICSE-2000-Kammer #approach #component #distributed #process
Supporting dynamic distributed work processes with a component and event based approach (PJK), pp. 710–712.
ICSEICSE-2000-KautzHT #enterprise #process
Applying and adjusting a software process improvement model in practice: the use of the IDEAL model in a small software enterprise (KK, HWH, KT), pp. 626–633.
ICSEICSE-2000-NishiyamaIN #effectiveness
Technology transfer macro-process: a practical guide for the effective introduction of technology (TN, KI, TN), pp. 577–586.
ICSEICSE-2000-OHara #case study #experience #process
European experiences with software process improvement (FO), pp. 635–640.
ICSEICSE-2000-Widmaier #maturity #process #question #re-engineering #reliability #state of the art
Producing more reliable software: mature software engineering process vs. state-of-the-art technology? (JCW), pp. 88–93.
SPLCSPLC-2000-Morisawa #distributed #product line #set
A computing model of product lines for distributed processing systems, its product sets, and its applications (YM), pp. 371–394.
HPCAHPCA-2000-RixnerDKMKO
Register Organization for Media Processing (SR, WJD, BK, PRM, UJK, JDO), pp. 375–386.
OSDIOSDI-2000-BackHL #java #process #resource management
Processes in KaffeOS: Isolation, Resource Management, and Sharing in Java (GB, WCH, JL), pp. 333–346.
ICLPCL-2000-EchahedS #declarative #mobile #process #programming
Combining Mobile Processes and Declarative Programming (RE, WS), pp. 300–314.
ICLPCL-2000-GilbertP #concurrent #constraints #process #programming
Concurrent Constraint Programming with Process Mobility (DRG, CP), pp. 463–477.
ICLPCL-2000-MukhopadhyayP #logic #model checking #process
Model Checking for Timed Logic Processes (SM, AP), pp. 598–612.
ISSTAISSTA-2000-CobleighCO #process #verification
Verifying properties of process definitions (JMC, LAC, LJO), pp. 96–101.
LICSLICS-2000-CalvaneseGLV #constraints #query
View-Based Query Processing and Constraint Satisfaction (DC, GDG, ML, MYV), pp. 361–371.
LICSLICS-2000-CattaniS #modelling #process
Models for Name-Passing Processes: Interleaving and Causal (GLC, PS), pp. 322–333.
LICSLICS-2000-DesharnaisGJP #approximate #markov #process
Approximating Labeled Markov Processes (JD, VG, RJ, PP), pp. 95–106.
LICSLICS-2000-YoshidaH #process
Assigning Types to Processes (NY, MH), pp. 334–345.
DACDAC-1999-QiuP #markov #power management #process
Dynamic Power Management Based on Continuous-Time Markov Decision Processes (QQ, MP), pp. 555–561.
DATEDATE-1999-Holzheuer #analysis #how #process
How to use Knowledge in an Analysis Process (HH), pp. 498–502.
HTHT-1999-LoweBW #assessment #development #hypermedia #modelling #process
Improving Hypermedia Development: A Reference Model-Based Process Assessment Method (DBL, AJB, RW), pp. 139–146.
ICDARICDAR-1999-Hirayama #framework #using
A Framework for Forms Processing using Enhanced-Line-Shared-Adjacent Format (YH), pp. 103–106.
PODSPODS-1999-PapadiasMT #multi #optimisation #using
Processing and Optimization of Multiway Spatial Joins Using R-Trees (DP, NM, YT), pp. 44–55.
PODSPODS-1999-SchuldtAS #concurrent #process #transaction
Concurrency Control and Recovery in Transactional Process Management (HS, GA, HJS), pp. 316–326.
SIGMODSIGMOD-1999-MamoulisP #algorithm #integration #multi
Integration of Spatial Join Algorithms for Processing Multiple Inputs (NM, DP), pp. 1–12.
SIGMODSIGMOD-1999-MaratheS #array #query
Query Processing Techniques for Arrays (APM, KS), pp. 323–334.
VLDBVLDB-1999-PedersenJD #online
Extending Practical Pre-Aggregation in On-Line Analytical Processing (TBP, CSJ, CED), pp. 663–674.
VLDBVLDB-1999-RamanRH #interactive #online #order
Online Dynamic Reordering for Interactive Data Processing (VR, BR, JMH), pp. 709–720.
CSEETCSEET-1999-AbowdCHP #process
Putting the Personal Software Process into Practice (PA, DC, GWH, RP), p. 34–?.
CSEETCSEET-1999-Hirai #metric
Micro-Process Based Software Metrics in the Training (KH), pp. 132–134.
ITiCSEITiCSE-1999-Ben-AriK #concurrent #learning #parallel #process
Thinking parallel: the process of learning concurrency (MBA, YBDK), pp. 13–16.
ITiCSEITiCSE-1999-ChiuHCL #collaboration #concept #interactive #network #process
Interaction processes in network supported collaborative concept mapping (CHC, CCH, WTC, THL), pp. 75–78.
ITiCSEITiCSE-1999-PutSZ99a #education #internet #process
The use of the Internet in the teaching process (DP, JS, MZ), p. 193.
FASEFASE-1999-BruniMM #calculus #execution #process #specification
Executable Tile Specifications for Process Calculi (RB, JM, UM), pp. 60–76.
FASEFASE-1999-Muller-OlmSC #algebra #approach #component #evolution #on the
On the Evolution of Reactive Components: A Process-Algebraic Approach (MMO, BS, RC), pp. 161–175.
FoSSaCSFoSSaCS-1999-BodeiDNN #process #static analysis
Static Analysis of Processes for No and Read-Up and No Write-Down (CB, PD, FN, HRN), pp. 120–134.
FoSSaCSFoSSaCS-1999-RocklS #algol #concurrent #process #semantics #π-calculus
A π-calculus Process Semantics of Concurrent Idealised ALGOL (CR, DS), pp. 306–321.
TACASTACAS-1999-BastenH #algebra #process
Process Algebra in PVS (TB, JH), pp. 270–284.
TACASTACAS-1999-LiC #bisimulation #congruence #process
Computing Strong/Weak Bisimulation Equivalences and Observation Congruence for Value-Passing Processes (ZL, HC), pp. 300–314.
ICSMEICSM-1999-Chatters #development #evolution #experience #implementation #maintenance #process
Experience Paper: Implementing an Experience Factory: Maintenance and Evolution of the Software and Systems Development Process (BWC), p. 146–?.
ICSMEICSM-1999-Kajko-Mattsson #experience #maintenance #problem #process
Experience Paper: Maintenance at ABB (I): Software Problem Administration Processes (MKM), p. 167–?.
ICSMEICSM-1999-Kajko-Mattsson99b #execution #experience #maintenance #process
Experience Paper: Maintenance at ABB (II): Change Execution Processes (MKM), pp. 307–315.
ICSMEICSM-1999-KrikhaarPSSV #architecture #process
A Two-Phase Process for Software Architecture Improvement (RLK, AP, MPAS, MS, CV), pp. 371–380.
ICALPICALP-1999-Galpin #algebra #comparison #process #using
Comparison of Process Algebra Equivalences Using Formats (VG), pp. 341–350.
ICALPICALP-1999-HirshfeldJ #algebra #bisimulation #decidability #process
Bisimulation Equivanlence Is Decidable for Normed Process Algebra (YH, MJ), pp. 412–421.
ICALPICALP-1999-KuceraM #algebra #process #simulation
Simulation Preorder on Simple Process Algebras (AK, RM), pp. 503–512.
CIAAWIA-1999-NoordG #compilation #finite #natural language #regular expression
An Extendible Regular Expression Compiler for Finite-State Approaches in Natural Language Processing (GvN, DG), pp. 122–139.
CIAAWIA-1999-PaunT #finite #multi #transducer
Multiset Processing by Means of Systems of Finite State Transducers (GP, GT), pp. 140–157.
FMFM-v1-1999-EertinkJLTV #design #process
A Business Process Design Language (HE, WJ, POL, WBT, CAV), pp. 76–95.
FMFM-v1-1999-Wang #automation #pointer #process #verification
Automatic Verification of Pointer Data-Structure Systems for All Numbers of Processes (FW), pp. 328–347.
FMFM-v2-1999-GarbettPSA #empirical #process #synthesis
Secure Synthesis of Code: A Process Improvement Experiment (PG, JPP, MS, SA), pp. 1816–1835.
IFMIFM-1999-BoltonDW #data type #on the #process #refinement #simulation
On the Refinement and Simulation of Data Types and Processes (CB, JD, JW), pp. 273–292.
IFMIFM-1999-Hennessy #calculus #message passing #process #proving
Proof Systems for Message-Passing Process Calculi (MH), p. 26.
IFMIFM-1999-TreharneS #algebra #process #using
Using a Process Algebra to Control B Operations (HT, SS), pp. 437–456.
AGTIVEAGTIVE-1999-JagerSW #development #graph #modelling #named #process
AHEAD: A Graph-Based System for Modeling and Managing Development Processes (DJ, AS, BW), pp. 325–339.
AGTIVEAGTIVE-1999-Schleicher #formal method #graph transformation #modelling #process #uml #using
Formalizing UML-Based Process Models Using Graph Transformations (AS), pp. 341–357.
CHICHI-1999-QuintanaECWS #analysis #case study #design #named #process
Symphony: A Case Study in Extending Learner-Centered Design through Process Space Analysis (CQ, JE, AC, HKW, ES), pp. 473–480.
CHICHI-1999-Salvucci #eye tracking #interface #modelling #process
Inferring Intent in Eye-Based Interfaces: Tracing Eye Movements with Process Models (DDS), pp. 254–261.
HCIHCI-CCAD-1999-AkoumianakisSPS #design #guidelines
Universal accessibility: process-oriented design guidelines (DA, MS, AP, CS), pp. 807–811.
HCIHCI-CCAD-1999-ElzerB #3d #process #representation
Three-dimensional representation of process values (PFE, CB), pp. 1296–1300.
HCIHCI-CCAD-1999-GrundelS #communication #process #user interface
A direct manipulation user interface for the control of communication processes — making call handling manageable (CG, MSH), pp. 8–13.
HCIHCI-CCAD-1999-HeldK #process
The ice-breaking VALAMO — a tool for participatory processes (JH, HK), pp. 563–567.
HCIHCI-CCAD-1999-Komischke #branch #identification #process #sequence
Identifying core sequences in process control across branches (TK), pp. 1301–1305.
HCIHCI-CCAD-1999-LiangL #matrix #metric #process #representation
Information representation and decision process: effects of measurement scale and shape of decision matrix on preferential choice (SFML, MRL), pp. 62–65.
HCIHCI-CCAD-1999-MatsumotoNMK #human-computer #interactive #learning #process
Learning human-computer interactive process of learning with intelligence tutoring systems (TM, HN, EM, KK), pp. 1216–1220.
HCIHCI-CCAD-1999-McNeese #analysis #learning #metric #performance #process #protocol
Making sense of teamwork: the use of protocol analysis / performance measures to reveal cooperative work processes in a situated learning environment (MDM), pp. 502–506.
HCIHCI-CCAD-1999-OzawaAFSY #adaptation #case study #education #implementation #process #realtime
An experimental study on implementing real-time estimator of human cognitive process into eye-sensing head mounted display for realizing adaptive CAI for teaching plant diagnosis knowledge (TO, YA, SF, HS, HY), pp. 1197–1200.
HCIHCI-CCAD-1999-RizzoBNCRLKKTH #bibliography #process
Virtual environments for targeting cognitive processes: an overview of projects at the University of Southern California (AAR, JGB, UN, CC, AvR, PL, KK, CK, MT, LH), pp. 1095–1099.
HCIHCI-CCAD-1999-WolfFSL #design #process
Groupware support for chemical process design (MW, CF, CMS, HL), pp. 343–347.
HCIHCI-EI-1999-FitzpatrickD #evaluation #process #usability
A Process for Appraising Commercial Usability Evaluation Methods (RF, AJD), pp. 1068–1072.
HCIHCI-EI-1999-HeldK99a #design #process
Who is the Designer? — The B-VOR Process of Participatory Design (JH, HK), pp. 1162–1166.
HCIHCI-EI-1999-SuzukiKO #design #named #process
QUIS: applying a new walkthrough method to a product design process (SS, AK, KO), pp. 933–937.
HCIHCI-EI-1999-Wolber #design #process
Work-Oriented IT Tool Design for Dynamic Business Processes and Organization Structures (MW), pp. 316–320.
CAiSECAiSE-1999-AnsorgeBDHMPRSTV #component #development #process #reuse
Managing Componentware Development — Software Reuse and the V-Modell Process (DA, KB, BD, NH, CM, BP, AR, MS, VT, SV), pp. 134–148.
CAiSECAiSE-1999-Becker-KornstaedtHKRVWZ #approach #process
Support for the Process Engineer: The Spearmint Approach to Software Process Definition and Process Guidance (UBK, DH, RK, PR, MV, RW, JZ), pp. 119–133.
CAiSECAiSE-1999-GreenR #analysis #modelling #ontology #process
An Ontological Analysis of Integrated Process Modelling (PFG, MR), pp. 225–240.
CAiSECAiSE-1999-HesseN #approach #modelling #multi #process
A Multi-variant Approach to Software Process Modelling (WH, JN), pp. 210–224.
CAiSECAiSE-1999-Jacobson #component #development #process
The Unified Process for Component-Based Development (IJ), p. 1.
CAiSECAiSE-1999-JoerisH #flexibility #modelling #process #towards
Towards Flexible and High-Level Modeling and Enacting of Processes (GJ, OH), pp. 88–102.
CAiSECAiSE-1999-MatthesWH #approach #component
A Process-Oriented Approach to Software Component Definition (FM, HW, PH), pp. 26–40.
CAiSECAiSE-1999-SadiqO #graph #identification #modelling #process #reduction
Applying Graph Reduction Techniques for Identifying Structural Conflicts in Process Models (WS, MEO), pp. 195–209.
CAiSECAiSE-1999-ScheerH #information management #process
From Business Process Model to Application System — Developing an Information System with the House of Business Engineering (HOBE) (AWS, MH), pp. 2–9.
CAiSECAiSE-1999-YiJ #process #reasoning #representation
Beyond Goal Representation: Checking Goal-Satisfaction by Temporal Reasoning with Business Processes (CHY, PJ), pp. 462–466.
EDOCEDOC-1999-KovacsMGB #modelling #process
Patterns for integrating manufacturing product and process models (ZK, RM, JMLG, NB), pp. 37–48.
EDOCEDOC-1999-NublingPZ #process
OMF-an object request broker for the process control application domain (MN, CP, CZ), pp. 126–134.
ICEISICEIS-1999-AbeT #analysis #development #process #scheduling
IDEFO-Based Software Process Analysis for Scheduling Systems Development (AA, TT), pp. 361–370.
ICEISICEIS-1999-AbeysingheH #modelling #natural language #process
Process Modelling with Natural Language Input (GA, CRH), p. 762.
ICEISICEIS-1999-AllouiCOV #modelling #named #process
ALLIANCE: An Agent-Based Case Environment for Enterprose Process Modelling, Enactment and Quantitative Control (IA, SC, FO, HV), pp. 371–382.
ICEISICEIS-1999-BarjisD #analysis #modelling #network #process #using
Business Process Modelling and Analysis Using GERT Networks (JB, JLGD), pp. 748–758.
ICEISICEIS-1999-Belo #approach #process
Gathering the Right Information at the Right Time-An Agent Based Approach to Data Warehouses Loading Processes (OB), pp. 29–36.
ICEISICEIS-1999-BragancaR #process
System for Operational Process Management (AB, CR), p. 765.
ICEISICEIS-1999-CharltonGLN #automation #generative #process
Maximising the Benefits of Electronic Commerce: Automating the Process of Virtual Store Generation & Marketing for SMEs (CCC, JG, JL, IN), pp. 599–605.
ICEISICEIS-1999-El-DenB #modelling #process
Infomartion Modelling for Resource-Oriented Business Process (JED, JPB), p. 770.
ICEISICEIS-1999-HuLHDN #documentation
Managing Knowledge for an Intelligent Document Processing System (JH, XL, DCDH, SD, PAN), p. 766.
ICEISICEIS-1999-Lu #database #modelling #parallel #performance #predict #process
Modelling Background Processes in Parallel Database Systems for Performance Prediction (KJL), pp. 101–108.
ICEISICEIS-1999-Salameh #enterprise #process #re-engineering
Role of ERP Systems in International Business Process Re-Engineering (ZS), p. 785.
ICEISICEIS-1999-SchillM #workflow
System Support for Intranet-Based Workflow Processing (AS, CM), pp. 711–716.
ICEISICEIS-1999-SolimanP #process
Strategic Role of IT Leader in International Business Process Change (FS, JP), p. 784.
ICEISICEIS-1999-ToffolonD #framework #process #re-engineering
The Decision Process in Software Engineering-A Framework Based on the Call Options Theory (CT, SD), p. 778.
ICEISICEIS-1999-ValeRFSMSM #information management
An Expert System for Intelligent Information Processing in Portuguese Power System Control Centers (ZAV, CR, LF, JS, NM, AS, AM), pp. 335–342.
CIKMCIKM-1999-Gal #enterprise #information management #query
Obsolescent Materialized Views in Query Processing of Enterprise Information Systems (AG), pp. 367–374.
CIKMCIKM-1999-LimKO #scheduling
Page Access Scheduling in Join Processing (AL, JLPK, WCO), pp. 276–283.
ICMLICML-1999-ThrunLF #learning #markov #modelling #monte carlo #parametricity #probability #process
Monte Carlo Hidden Markov Models: Learning Non-Parametric Models of Partially Observable Stochastic Processes (ST, JL, DF), pp. 415–424.
ICMLICML-1999-WangM #markov #optimisation #process
Hierarchical Optimization of Policy-Coupled Semi-Markov Decision Processes (GW, SM), pp. 464–473.
KDDKDD-1999-HuangY #adaptation #query
Adaptive Query Processing for Time-Series Data (YWH, PSY), pp. 282–286.
KDDKDD-T-1999-Holsheimer #data mining #mining #process
Data Mining by Business Users: Integrating Data Mining in Business Processes (MH), pp. 266–291.
MLDMMLDM-1999-AizenbergAK #algorithm #image #learning #multi #recognition
Multi-valued and Universal Binary Neurons: Learning Algorithms, Application to Image Processing and Recognition (INA, NNA, GAK), pp. 21–35.
MLDMMLDM-1999-AltamuraELM #documentation #learning
Symbolic Learning Techniques in Paper Document Processing (OA, FE, FAL, DM), pp. 159–173.
MLDMMLDM-1999-Jahn #image #learning #preprocessor
Unsupervised Learning of Local Mean Gray Values for Image Pre-processing (HJ), pp. 64–74.
MLDMMLDM-1999-Sawaragi #data mining #effectiveness #interactive #mining
Reproductive Process-Oriented Data Mining from Interactions between Human and Complex Artifact System (TS), pp. 180–194.
SIGIRSIGIR-1999-Hirsh #process #research
Information Seeking at Different Stages of the RD Research Process (poster abstract) (SGH), pp. 285–286.
UMLUML-1999-BalustG #modelling #process #uml #using
Using UML for Software Process Modelling (JMRB, XF), pp. 292–307.
UMLUML-1999-Hruby #design #development #process #uml
Designing UML Based Development Processes (PH), pp. 308–323.
ECOOPECOOP-1999-GaspariZ #algebra #corba #process #specification
Process Algebraic Specification of the New Asynchronous CORBA Messaging Service (MG, GZ), pp. 495–518.
TOOLSTOOLS-ASIA-1999-LiL #interactive #reuse
Framework-Based Software Reuse for Interactive Seismic Processing Applications (YL, JL), pp. 239–244.
TOOLSTOOLS-ASIA-1999-LiZL #communication #csp #process #type system
The Typing of Communicating Sequential Processes (WL, XZ, SL), pp. 61–66.
TOOLSTOOLS-ASIA-1999-YangW #development #distributed #process
Supporting Distributed Software Development Processes in a Web-Based Environment (YY, PW), pp. 292–295.
TOOLSTOOLS-EUROPE-1999-McLoed #modelling #object-oriented #process
Comprehensive Object-Oriented Business Process Modeling (GM), p. 412.
TOOLSTOOLS-USA-1999-FrankBBHY #adaptation #generative #modelling #process #quality
High level Modelling Languages, Adaptable Process Models and Software Generation: Drivers for Quality and Productivity (UF, DSB, JB, BHS, HY), pp. 563–570.
TOOLSTOOLS-USA-1999-SeacordN #component #process #re-engineering
Component-Based Software Engineering Processes (RCS, KCN), p. 532–?.
PPDPPPDP-1999-LopesSV #calculus #process #virtual machine
A Virtual Machine for a Process Calculus (LMBL, FMAS, VTV), pp. 244–260.
POPLPOPL-1999-GuptaJP #concurrent #constraints #probability #process #source code
Stochastic Processes as Concurrent Constraint Programs (VG, RJ, PP), pp. 189–202.
RERE-1999-Spence #case study #process #requirements #uml
Requirements, Use Cases, the UML and The Rational Unified Process (IS), p. 3–?.
RERE-1999-VillerS #analysis #process #requirements #social
Social Analysis in the Requirements Engineering Process: From Ethnography to Method (SV, IS), pp. 6–13.
SACSAC-1999-DumitrescuL #coordination #matrix #performance #reliability
Reliable and Efficient Matrix Processing with the Specification-Consistent Coordination Model (RAD, EFAL), pp. 191–193.
SACSAC-1999-RudenkoRPK #framework #power management
The Remote Processing Framework for Portable Computer Power Saving (AR, PLR, GJP, GHK), pp. 365–372.
SACSAC-1999-WakizonoKTHT #database #development #evaluation #object-oriented #process
Object-Oriented Database management System for Process Control Systems: Development and Evaluation (RW, TK, TT, TH, TT), pp. 204–209.
SACSAC-1999-WalbornC #transaction
Transaction Processing in PRO-MOTION (GDW, PKC), pp. 389–398.
ESECESEC-FSE-1999-JagerSW #modelling #process #uml #using
Using UML for Software Process Modeling (DJ, AS, BW), pp. 91–108.
ESECESEC-FSE-1999-LevesonHR #design #lessons learnt #process #specification
Designing Specification Languages for Process Control Systems: Lessons Learned and Steps to the Future (NGL, MPEH, JDR), pp. 127–145.
ESECESEC-FSE-1999-WarboysBGKMMM #collaboration #composition #generative #process
Collaboration and Composition: Issues for a Second Generation Process Language (BW, DB, RMG, GNCK, KM, RM, DSM), pp. 75–90.
ICSEICSE-1999-Brown #process #project management #re-engineering
Personal Software Engineering Project Management Process (AWB), pp. 669–670.
ICSEICSE-1999-Fordham #maturity #process #question
Software Process Maturity: Is Level five Enough? (RGF), p. 611.
ICSEICSE-1999-MaurerSHKGD #internet #process
Software Process Support over the Internet (FM, GS, HH, BK, SG, BD), pp. 642–645.
ICSEICSE-1999-Moore #process
Project LEAP: Personal Process Improvement for the Differently Disciplined (CAM), pp. 726–727.
HPCAHPCA-1999-JacobsonS #preprocessor
Instruction Pre-Processing in Trace Processors (QJ, JES), pp. 125–129.
HPDCHPDC-1999-PuA #artificial reality #distributed #image
Distributed Processing for Cinematic Holographic Particle Image Velocimetry (YP, DA), pp. 343–344.
HPDCHPDC-1999-ZandyML #process
Process Hijacking (VCZ, BPM, ML), pp. 177–184.
SOSPSOSP-1999-AronD #network #performance
Soft timers: efficient microsecond software timer support for network processing (MA, PD), pp. 232–246.
SOSPSOSP-1999-DouceurB #process
Progress-based regulation of low-importance processes (JRD, WJB), pp. 247–260.
CAVCAV-1999-LangerakB #algebra #finite #process
A Complete Finite Prefix for Process Algebra (RL, EB), pp. 184–195.
CSLCSL-1999-ArtsG #erlang #process #verification
Applying Rewriting Techniques to the Verification of Erlang Processes (TA, JG), pp. 96–110.
CSLCSL-1999-KuceraE #algebra #logic
A Logical Viewpoint on Process-Algebraic Quotients (AK, JE), pp. 499–514.
LICSLICS-1999-BorealeNP #encryption #process #proving
Proof Techniques for Cryptographic Processes (MB, RDN, RP), pp. 157–166.
ASEASE-1998-AndreoliFM #approach #coordination #evolution #process #workflow
A Coordination System Approach to Software Workflow Process Evolution (JMA, CF, JLM), p. 297–?.
ASEASE-1998-GaryLKD #component #process
Component-based Software Process Support (KG, TEL, HK, JCD), pp. 196–199.
DACDAC-1998-ChouB #composition #distributed #embedded #process #towards
Modal Processes: Towards Enhanced Retargetability Through Control Composition of Distributed Embedded Systems (PHC, GB), pp. 88–93.
DACDAC-1998-Lin #concurrent #source code #synthesis
Software Synthesis of Process-Based Concurrent Programs (BL), pp. 502–505.
DACDAC-1998-LokanathanB #multi #optimisation #process
Process Multi-Circuit Optimization (ANL, JBB), pp. 382–387.
DACDAC-1998-WittenburgHKOBLKP #image #parallel #performance #programmable
Realization of a Programmable Parallel DSP for High Performance Image Processing Applications (JPW, WH, JK, MO, MB, HL, HK, PP), pp. 56–61.
DATEDATE-1998-ElesKPDP #embedded #graph #process #scheduling #synthesis
Scheduling of Conditional Process Graphs for the Synthesis of Embedded Systems (PE, KK, ZP, AD, PP), pp. 132–138.
DATEDATE-1998-HiguchiS #design
Innovative System-level Design Environment Based on FORM for Transport Processing System (KH, KS), pp. 883–890.
DATEDATE-1998-Lin #compilation #concurrent #performance #runtime #scheduling #source code
Efficient Compilation of Process-Based Concurrent Programs without Run-Time Scheduling (BL), pp. 211–217.
DATEDATE-1998-MaestroMM #clustering #estimation #hardware #parallel #process
A Macroscopic Time and Cost Estimation Model Allowing Task Parallelism and Hardware Sharing for the Codesign Partitioning Process (JAM, DM, HM), pp. 218–225.
SIGMODSIGMOD-1998-Chong #web
Real Business Processing Meets the Web (JC), p. 536.
SIGMODSIGMOD-1998-JaedickeM #on the #parallel
On Parallel Processing of Aggregate and Scalar Functions in Object-Relational DBMS (MJ, BM), pp. 379–389.
SIGMODSIGMOD-1998-Kennamer #data transformation #transaction
Microsoft.com: A High-Scale Data Management and Transaction Processing Solution (SK), pp. 539–540.
SIGMODSIGMOD-1998-PapadopoulosM #array #query #similarity #using
Similarity Query Processing Using Disk Arrays (AP, YM), pp. 225–236.
VLDBVLDB-1998-AnkerstBKS #adaptation #approximate #query #similarity #using
Improving Adaptable Similarity Query Processing by Using Approximations (MA, BB, HPK, TS), pp. 206–217.
VLDBVLDB-1998-GrovlenHT #low cost #query
Low-Cost Compensation-Based Query Processing (ØG, SOH, ØT), pp. 182–193.
VLDBVLDB-1998-ZimbraoS #approximate
A Raster Approximation For Processing of Spatial Joins (GZ, JMdS), pp. 558–569.
CSEETCSEET-1998-Robillard #process #re-engineering
Measuring Team Activities in a Process-Oriented Software Engineering Course (PNR), pp. 90–101.
CSEETCSEET-1998-UpchurchS #process #student
In Support of Student Process Improvement (RLU, JSK), pp. 114–125.
ITiCSEITiCSE-1998-BouletJB #education #modelling #process #student #using
Teaching process modelling to undergraduate computer students: effects of using Lotus Notes and television programmes (MMB, FBJ, SB), pp. 32–36.
ITiCSEITiCSE-1998-Grove #motivation #process #programming #using
Using the personal software process to motivate good programming practices (RFG), pp. 98–101.
ITiCSEITiCSE-1998-McNally #image #using
Using image processing as a laboratory theme in CS1 and CS2 (poster) (MFM), p. 292.
FoSSaCSFoSSaCS-1998-BorealeNP #process
Asynchronous Observations of Processes (MB, RDN, RP), pp. 95–109.
TACASTACAS-1998-AbdullaJ #network #process #verification
Verifying Networks of Timed Processes (Extended Abstract) (PAA, BJ), pp. 298–312.
TACASTACAS-1998-JensenL #abstraction #algorithm #proving #using
A Proof of Burns N-Process Mutual Exclusion Algorithm Using Abstraction (HEJ, NAL), pp. 409–423.
WRLAWRLA-1998-BorovanskyC #constraints #process #theorem proving #using
Cooperation of constraint solvers: using the new process control facilities of ELAN (PB, CC), pp. 1–20.
CSMRCSMR-1998-FioravantiNP #assessment #c++ #process
A Tool for Process and Product Assessment of C++ Applications (FF, PN, SP), pp. 89–95.
CSMRCSMR-1998-StoecklinWS #maintenance #process #re-engineering
Tailoring the Process Model for Maintenance and Reengineering (SS, DW, PS), pp. 209–212.
ICSMEICSM-1998-BasiliLS #maintenance #process
Investigating Maintenance Processes in a Framework-Based Environment (VRB, FL, FS), pp. 256–264.
ICSMEICSM-1998-Munson #metric #process
Some Comments on the Software Measurement Process (JCM), p. 71.
ICSMEICSM-1998-SousaM #bibliography #maintenance #process
A Survey on the Software Maintenance Process (MJCS, HMM), pp. 265–274.
ICSMEICSM-1998-Stark #maintenance #modelling #process #quality
Modeling Process and Product Quality during Maintenance (GES), p. 74–?.
WCREWCRE-1998-FusaroTV #case study #component #named #process
REP — chaRacterising and Exploiting Process component: Results of Experimentation (PF, MT, GV), pp. 20–29.
WCREWCRE-1998-ValasareddiC #graph #identification #process #source code
A Graph-Based Object Identification Process for Procedural Programs (RRV, DLC), pp. 50–58.
ICALPICALP-1998-BaldanCM #graph #process
Concatenable Graph Processes: Relating Processes and Derivation Traces (PB, AC, UM), pp. 283–295.
ICALPICALP-1998-Brassard #information management #quantum
New Horizons in Quantum Information Processing (GB), pp. 769–771.
ICALPICALP-1998-GadducciM #axiom #process
Axioms for Contextual Net Processes (FG, UM), pp. 296–308.
ICALPICALP-1998-JancarKM #bisimulation #finite #process
Deciding Bisimulation-Like Equivalences with Finite-State Processes (PJ, AK, RM), pp. 200–211.
FMFM-1998-BroyS #development #formal method #process
Enriching the Software Development Process by Formal Methods (MB, OS), pp. 44–61.
FMFM-1998-KoobUW #formal method #modelling #policy #process #security #topic #using
The New Topicality of Using Formal Models of Security Policy within the Security Engineering Process (FK, MU, SW), pp. 302–310.
FMFM-1998-MargariaG #approach #flexibility #process #reliability
Flexible and Reliable Process Model Properties: An Integrated Approach (TMS, VG), pp. 213–227.
IFLIFL-1998-Assmann #coordination #implementation #performance #process
Performance Results for an Implementation of the Process Coordination Language K2 (CA), pp. 1–19.
ICGTTAGT-1998-Hrischuk #automation #graph grammar #process #using
A Model Making Automation Process (MMAP) Using a Graph Grammar Formalism (CEH), pp. 442–454.
ICGTTAGT-1998-KrappKSW #development #graph #modelling #process
Graph-Based Models for Managing Development Processes, Resources, and Products (CAK, SK, AS, BW), pp. 455–474.
AdaEuropeAdaEurope-1998-EmeryMN #ada #automation #how #process #question
Automating the Ada Binding Process for Java- How Far Can We Go? (DEE, RFM, KAN), pp. 29–40.
AdaSIGAda-1998-Crawford #algorithm #animation
Algorithm Animation with Symbol Processing Robots (BSC), pp. 217–218.
AdaSIGAda-1998-James #ada #data flow #distributed #evolution #using
The Evolution of a Distributed dataflow Processing Model Using Ada (SJ), pp. 39–44.
AdaSIGAda-1998-Silberberg #ada #process
Applying the Personal Software Process (PSP) with Ada (DS), pp. 219–228.
CAiSECAiSE-1998-KardasisL #information management #legacy #process
Aligning Legacy Information Systems to Business Processes (PK, PL), pp. 25–39.
CAiSECAiSE-1998-KavakliL #analysis #process
Goal-Driven Business Process Analysis — Application in Electricity Deregulation (VK, PL), pp. 305–324.
CAiSECAiSE-1998-NurcanGS #approach #case study #process
Describing Business Processes with a Guided Use Case Approach (SN, GG, CS), pp. 339–362.
CAiSECAiSE-1998-Rolland #process
A Comprehensive View of Process Engineering (CR), pp. 1–24.
EDOCEDOC-1998-LoosA #modelling #object-oriented #process #uml
Object-orientation in business process modeling through applying event driven process chains (EPC) in UML (PL, TA), pp. 102–112.
EDOCEDOC-1998-Riemer
A process-driven, event-based business object model (KR), pp. 68–74.
EDOCEDOC-1998-SchaderK #approach #development #modelling #object-oriented #process #uml
Modeling business processes as part of the BOOSTER approach to business object-oriented system development based on UML (MS, AK), pp. 56–67.
ECIRACIR-1998-OunisP #concept #effectiveness #graph #performance #query #relational #using
Effective and Efficient Relational Query Processing Using Conceptual Graphs (IO, MP).
CIKMCIKM-1998-ClairLP #process
Attribute Weighting: A Method of Applying Domain Knowledge in the Decision Tree Process (CSC, CL, NP), pp. 259–266.
CIKMCIKM-1998-KimC #clustering #performance #query
Sibling Clustering of Tree-Based Spatial Indexes for Efficient Spatial Query Processing (KK, SKC), pp. 398–405.
ICMLICML-1998-Domingos #heuristic
A Process-Oriented Heuristic for Model Selection (PMD), pp. 127–135.
ICMLICML-1998-KollerF #approximate #learning #probability #process #using
Using Learning for Approximation in Stochastic Processes (DK, RF), pp. 287–295.
ICMLICML-1998-LochS #markov #policy #process #using
Using Eligibility Traces to Find the Best Memoryless Policy in Partially Observable Markov Decision Processes (JL, SPS), pp. 323–331.
ICPRICPR-1998-BartnikGSP
Postal reply card processing (DCB, VG, SNS, BCP), pp. 633–636.
ICPRICPR-1998-ChenL #algorithm #documentation #using
Field-data grouping for form document processing using a gravitation-based algorithm (JLC, HJL), pp. 1095–1097.
ICPRICPR-1998-FrydendalJ #graph #image #segmentation #using
Segmentation of sugar beets using image and graph processing (IF, RJ), pp. 1697–1699.
ICPRICPR-1998-GuillevicS #recognition #word
HMM-KNN word recognition engine for bank cheque processing (DG, CYS), pp. 1526–1529.
ICPRICPR-1998-HameyYWS #identification #image #preprocessor #segmentation #self
Pre-processing colour images with a self-organising map: baking curve identification and bake image segmentation (LGCH, JCHY, TW, SKYS), pp. 1771–1775.
ICPRICPR-1998-IshiiMT #detection #image #realtime #using #video
Detection of foreign substances mixed in a plastic bottle of medicinal solution using real-time video image processing (AI, TM, ST), pp. 1646–1650.
ICPRICPR-1998-KatoWNGK #approach #modelling #process
A model-based approach for recognizing folding process of origami (JK, TW, TN, LG, HK), pp. 1808–1811.
ICPRICPR-1998-KaufmannB #fault #locality #using
Amount translation and error localization in check processing using syntax-directed translation (GK, HB), pp. 1530–1534.
ICPRICPR-1998-KnutssonBL #learning #multi
Learning multidimensional signal processing (HK, MB, TL), pp. 1416–1420.
ICPRICPR-1998-LazarescuVWC #query #video
Combining NL processing and video data to query American Football (ML, SV, GAWW, TC), pp. 1238–1240.
ICPRICPR-1998-LoupiasBJ #automation #education #image
Automatic selection of illustrations for teaching image processing (EL, SB, JMJ), pp. 1177–1179.
ICPRICPR-1998-MasakiYKK #interactive #using #video
Interactive manipulation through augmented virtuality using processed video contents (TM, TY, YK, FK), pp. 1219–1222.
ICPRICPR-1998-MatsuoNI #distributed #evaluation #image #performance
A distributed image processing environment VIOS III and it’s performance evaluation (HM, KN, AI), pp. 1538–1542.
ICPRICPR-1998-TingL #layout #linear
Linear layout processing (AT, MKHL), pp. 403–405.
KDDKDD-1998-KerberBAS #information management #process
Active Templates: Comprehensive Support for the Knowledge Discovery Process (RK, HB, TA, BS), pp. 244–248.
UMLUML-1998-Kivisto #process #uml
Considerations of and Suggestions for a UML-Specific Process Model (KK), pp. 294–306.
UMLUML-1998-KorthausK #development #process #uml
BOOSTER Process. A Software Development Process Model Integrating Business Object Technology and UML (AK, SK), pp. 215–226.
UMLUML-1998-Paech #development #diagrams #on the #process #uml
On the Role of Activity Diagrams in UML — A User Task Centered Development Process for UML (BP), pp. 267–277.
TOOLSTOOLS-PACIFIC-1998-Chiba #metaprogramming #object-oriented
Macro Processing in Object-Oriented Languages (SC), pp. 113–126.
TOOLSTOOLS-PACIFIC-1998-Taniar #query #traversal
Forward vs. Reverse Traversal in Path Expression Query Processing (DT), pp. 127–140.
TOOLSTOOLS-USA-1998-Griss98a #architecture #process #reuse
Software Reuse: Architecture, Process and Organization for Business Success (MLG), p. 465.
TOOLSTOOLS-USA-1998-Sprinzen #automation #component #named #process
Components: Building Blocks for Automated Business Processes (MJS), p. 5.
POPLPOPL-1998-RielyH #distributed #mobile #process
A Typed Language for Distributed Mobile Processes (Extended Abstract) (JR, MH), pp. 378–390.
REICRE-1998-GaskaG #approach #process #requirements
An Approach for Cross-Discipline Requirements Engineering Process Patterns (MTG, DCG), pp. 182–189.
REICRE-1998-LamJB #framework #process #reuse
Technology Transfer for Reuse: A Management Model and Process Improvement Framework (WL, SJ, CB), pp. 233–240.
REFSQREFSQ-1998-Salo #development #process #requirements
Requirements for Groupware-Supported Requirements Process in New Product Development (AS), pp. 99–112.
REFSQREFSQ-1998-SawyerSV #process #requirements
Improving the Requirements Process (PS, IS, SV), pp. 71–84.
SACSAC-1998-BenedicentiSVV #fuzzy #logic #modelling #object-oriented #process
Object oriented process modeling with fuzzy logic (LB, GS, TV, AV), pp. 267–271.
SACSAC-1998-KottkampZ #database #mobile #query
Location-aware query processing in mobile database systems (HEK, OZ), pp. 416–423.
SACSAC-1998-LiWP #modelling #process #simulation
Modelling and simulation of fractional ARIMA processes based on importance sampling (JSL, AW, RPZ), pp. 453–455.
FSEFSE-1998-KontioGL #case study #concept #experience #process #risk management #using
Experiences in Improving Risk Management Processes Using the Concepts of the Riskit Method (JK, GG, DL), pp. 163–172.
ICSEICSE-1998-Aoyama #agile #experience #process
Agile Software Process and Its Experience (MA), pp. 3–12.
ICSEICSE-1998-AprilAM #assurance #lessons learnt #process
Process Assurance Audits: Lessons Learned (AA, AA, EM), pp. 482–485.
ICSEICSE-1998-dAusbourgSDR #automation #process #user interface #validation
Helping the Automated Validation Process of User Interfaces Systems (Bd, CS, GD, PR), pp. 219–228.
ICSEICSE-1998-DemirorsDDK #certification #process #towards
Process Improvement Towards ISO 9001 Certification in a Small Software Organization (ED, OD, OD, BK), pp. 435–438.
ICSEICSE-1998-GruhnS #process #repository #workflow
Workflow Management Based on Process Model Repositories (VG, MS), pp. 379–388.
ICSEICSE-1998-GruhnU #case study #experience #industrial #modelling #problem #process
Software Process Modeling and Enactment: An Experience Report Related to Problem Tracking in an Industrial Project (VG, JU), pp. 13–21.
ICSEICSE-1998-SakamotoNTN #process #towards
Toward Computational Support for Software Process Improvement Activities (KS, KN, YT, NN), pp. 22–31.
ICSEICSE-1998-WangKDPCSR #bibliography #process #re-engineering #towards
A Worldwide Survey of Base Process Activities Towards Software Engineering Process Excellence (YW, GK, AD, DP, IC, GS, MR), pp. 439–442.
ASPLOSASPLOS-1998-CitronFR #implementation #multi
Accelerating Multi-Media Processing by Implementing Memoing in Multiplication and Division Units (DC, DGF, LR), pp. 252–261.
HPDCHPDC-1998-KanitkarD #transaction
Two-Stage Transaction Processing in Client-Server DBMSs (VK, AD), pp. 98–105.
LCTESLCTES-1998-HinesB #debugging #distributed #implementation #process
Debugging Distributed Implementations of Modal Process Systems (KH, GB), pp. 98–107.
CAVCAV-1998-FerrariGMPR #mobile #process #verification
Verifying Mobile Processes in the HAL Environment (GLF, SG, UM, MP, GR), pp. 511–515.
LICSLICS-1998-Benthem #logic #process
Process Operations in Extended Dynamic Logics (JvB), pp. 244–250.
LICSLICS-1998-DesharnaisEP #bisimulation #logic #markov #process
A Logical Characterization of Bisimulation for Labeled Markov Processes (JD, AE, PP), pp. 478–487.
LICSLICS-1998-FioreH #axiom #game studies #process #recursion #representation
Recursive Types in Games: Axiomatics and Process Representation (MPF, KH), pp. 345–356.
LICSLICS-1998-ParrowV #calculus #mobile #process #symmetry
The Fusion Calculus: Expressiveness and Symmetry in Mobile Processes (JP, BV), pp. 176–185.
RTARTA-1998-GuoNS #algebra #process #unification
Unification and Matching in Process Algebras (QG, PN, SKS), pp. 91–105.
ASEASE-1997-AmbriolaG #natural language #requirements
Processing Natural Language Requirements (VA, VG), pp. 36–45.
ASEASE-1997-Yamaguchi #modelling #ontology #process #using
Modeling Software Processes by using Process and Object Ontologies (TY), pp. 319–320.
DACDAC-1997-MeyerST #array #design #synthesis
Design and Synthesis of Array Structured Telecommunication Processing Applications (WM, AS, FT), pp. 486–491.
DACDAC-1997-Tang #bibliography #design #process
Overview of Microelectromechanical Systems and Design Processes (WCT), pp. 670–673.
ICDARICDAR-1997-AraiO #analysis
Form Processing based on Background Region Analysis (HA, KO), pp. 164–169.
ICDARICDAR-1997-BayerM
A Generic System for Processing Invoices (TB, HMS), pp. 740–744.
ICDARICDAR-1997-CracknellDD #approach #object-oriented
An Object-Oriented form Description Language and Approach to Handwritten Form Processing (CC, ACD, LD), p. 180–?.
ICDARICDAR-1997-CracknellDD97a #analysis #comprehension #documentation #framework #image #named
TABS — A New Software Framework for Document Image Processing, Analysis, and Understanding (CC, ACD, LD), pp. 1001–1005.
ICDARICDAR-1997-KitahashiOKB #documentation #information management
Media Information Processing in Documents -Generation of Manuals of Mechanical Parts Assembling (TK, MO, KK, NB), pp. 792–797.
ICDARICDAR-1997-LiLRRZ #comprehension #documentation #image #knowledge-based
A Knowledge-Based Image Understanding Environment for Document Processing (YL, ML, ER, JFR, CZ), pp. 979–983.
ICDARICDAR-1997-ShiP #recognition
Font Recognition and Contextual Processing for More Accurate Text Recognition (HS, TP), pp. 39–44.
ICDARICDAR-1997-TangL #documentation #information management
Information Acquisition and Storage of Forms in Document Processing (YYT, JL), pp. 170–174.
PODSPODS-1997-GoldsteinRSY #constraints #linear #query
Processing Queries By Linear Constraints (JG, RR, US, JBY), pp. 257–267.
SIGMODSIGMOD-1997-GeorgeH #database #realtime #transaction
Secure Transaction Processing in Firm Real-Time Database Systems (BG, JRH), pp. 462–473.
SIGMODSIGMOD-1997-GuptaHR #commit #database #distributed
Revisiting Commit Processing in Distributed Database Systems (RG, JRH, KR), pp. 486–497.
VLDBVLDB-1997-AlonsoHST #distributed
Distributed Processing over Stand-alone Systems and Applications (GA, CH, HJS, MT), pp. 575–579.
VLDBVLDB-1997-ChatziantoniouR #query #relational
Groupwise Processing of Relational Queries (DC, KAR), pp. 476–485.
VLDBVLDB-1997-KumarKA #framework #named #network #process #transaction
Caprera: An Activity Framework for Transaction Processing on Wide-Area Networks (SK, EKK, DA), pp. 585–589.
VLDBVLDB-1997-WildfogelY #performance #testing #transaction
Efficient Testing of High Performance Transaction Processing Systems (DW, RY), pp. 595–598.
CSEETCSEET-1997-JaccheriL #modelling #process
Applying Software Process Modeling and Improvement in Academic Setting (MLJ, PL), pp. 13–27.
CSEETCSEET-1997-SyuSTH #automation #process
A Web-Based System for Automating a Disciplined Personal Software Process (PSP) (IS, AS, MT, TBH), pp. 86–101.
CSEETCSEET-1997-UpchurchS #design #education
Designing process-based software curriculum (RLU, JSK), pp. 28–39.
TACASTACAS-1997-Ben-AbdallahL #detection #process #sequence chart
Syntactic Detection of Process Divergence and Non-local Choice inMessage Sequence Charts (HBA, SL), pp. 259–274.
TACASTACAS-1997-FerrariFGMPR #automation #mobile #process #verification
An Automated Based Verification Environment for Mobile Processes (GLF, GF, SG, UM, MP, GR), pp. 275–289.
TACASTACAS-1997-SandnerM #proving #refinement #theorem proving
Theorem Prover Support for the Refinement of Stream Processing Functions (RS, OM), pp. 351–365.
CSMRCSMR-1997-Aebi #migration #multi #process #re-engineering
A process model for re-engineering, migration and multi-use of business data (DA), pp. 106–113.
CSMRCSMR-1997-KoelschW #process #re-engineering
A Process Model for Controlling and Performing Re-Engineering Tasks (UK, MW), pp. 20–24.
CSMRCSMR-1997-Schwille #configuration management #modelling #process
Modeling product and process characteristics in software configuration management (JS), pp. 25–32.
ICSMEICSM-1997-MayrhauserV #comprehension #maintenance #process #scalability
Hypothesis-Driven Understanding Processes During Corrective Maintenance of Large Scale Software (AvM, AMV), pp. 12–20.
ICSMEICSM-2000-EmamHM #analysis #process #requirements #scalability
Causal Analysis of the Requirements Change Process for a Large System (KEE, DH, NHM), pp. 214–221.
ICSMEICSM-2000-IioFA #analysis #maintenance #process
Experimental Analysis of the Cognitive Processes of Program Maintainers during Software Maintenance (KI, TF, YA), pp. 242–249.
ICSMEICSM-2000-LaguePMMH #clone detection #detection #development #process
Assessing the Benefits of Incorporating Function Clone Detection in a Development Process (BL, DP, JM, EM, JPH), pp. 314–321.
ICSMEICSM-2000-Schneidewind #maintenance #metric #process #reliability #using
Measuring and evaluating maintenance process using reliability, risk, and test metrics (NFS), p. 232–?.
ICSMEICSM-2000-TortorellaV #component #named #process #reverse engineering
CREP — Characterizing Reverse Engineering Process Component Methodology (MT, GV), pp. 222–231.
STOCSTOC-1997-GoreJ #process
The Swendsen-Wang Process Does Not Always Mix Rapidly (VG, MJ), pp. 674–681.
ICALPICALP-1997-BaierCHKR #model checking #probability #process
Symbolic Model Checking for Probabilistic Processes (CB, EMC, VHG, MZK, MR), pp. 430–440.
ICALPICALP-1997-BorealeNP #process
Basic Observables for Processes (MB, RDN, RP), pp. 482–492.
ICALPICALP-1997-BurkartS #calculus #infinity #model checking #process #μ-calculus
Model Checking the Full Modal μ-Calculus for Infinite Sequential Processes (OB, BS), pp. 419–429.
ICALPICALP-1997-Fokkink #algebra #axiom #process
Axiomatizations for the Perpetual Loop in Process Algebra (WF), pp. 571–581.
ICALPICALP-1997-HarelS #logic #process
Computation Paths Logic: An Expressive, yet Elementary, Process Logic (abridged version) (DH, ES), pp. 408–418.
ICALPICALP-1997-Jancar #bisimulation #decidability #equivalence #process
Bisimulation Equivalence is Decidable for One-Counter Processes (PJ), pp. 549–559.
ICALPICALP-1997-RielyH #distributed #process
Distributed Processes and Location Failures (Extended Abstract) (JR, MH), pp. 471–481.
FMFME-1997-MartinJ #analysis #concurrent #network #performance #process #scalability
An Efficient Technique for Deadlock Analysis of Large Scale Process Networks (JMRM, SAJ), pp. 418–441.
HCIHCI-CC-1997-BiksonECM #knowledge-based #process
Knowledge-Based Teams: Technology, Reinvention and Critical Process Redesign (TKB, JDE, SC, DM), pp. 205–208.
HCIHCI-CC-1997-Brodner #process
The Process of Organisational Learning-Experiences from a Joint Project (PB), pp. 253–256.
HCIHCI-CC-1997-Herrmann #modelling #process
Communicable Models for Cooperative Processes (TH), pp. 285–288.
HCIHCI-CC-1997-ItohMGA #behaviour #process
Analyzing Qualitative Data with SPROT (Structural Process Chart of a Task Oriented Behavior) (MI, YM, SG, TA), pp. 541–544.
HCIHCI-CC-1997-MatejaP #process
Decision Process of the Current Ergonomic Renewal of Production Systems (BEM, LMP), pp. 667–670.
HCIHCI-CC-1997-RizzoMZB #coordination #design #process
Designing the Information Cooperative for Harmonizing, Coordinating, and Promoting Earth Observation Business Processes (AR, MM, AZ, SB), pp. 741–744.
HCIHCI-CC-1997-WestlanderBH #development #information management #interactive #process
Modes of Interaction Between Businesses and R&D Project Teams in Cognitive Engineering and Information Systems Development. Success and Failures in the Process of Field Studies (GW, TB, SH), pp. 793–796.
HCIHCI-CC-1997-WulfM #development #process
The Emergence of Conventions Within Processes of Integrated Organization and Technology Development (VW, GM), pp. 293–296.
HCIHCI-SEC-1997-BoussoffaraE #interface #process
Reducing Operator Mental Load Through Dynamic Icons Interfaces and Process Notice (BB, PFE), pp. 391–394.
HCIHCI-SEC-1997-HollnagelH #communication #lessons learnt #problem #question
Twenty-Five Years of Operator-Process Communication: Lessons Learned and Problems Solved? (EH, JØH), pp. 221–224.
HCIHCI-SEC-1997-Okamoto #information management #interface #people #theory and practice #towards
Toward Rehabilitation Cognitive Engineering-Gap Between Theory and Practice in the Human Interface of Information Processing Devices for People with Disabilities (AO), pp. 551–554.
HCIHCI-SEC-1997-PedraliB #analysis #process #question
Can We Trace Back Cognitive Processes in Root Cause Analysis? (MP, RB), pp. 59–62.
AdaEuropeAdaEurope-1997-Thornley #case study #development #experience #static analysis
Static Analysis and Diversity in the Software Development Process- Experiences with the Use of SPARK (JPT), pp. 266–277.
EDOCEDOC-1997-VolmanJSWM #enterprise #modelling #process
Tele-Education Process Modelling Supported by The ODP Enterprise Viewpoint Language (CV, VJ, MvS, IW, EM), pp. 2–12.
ECIRACIR-1997-Kando #information management #research
Text-Level Structure of Research Papers: Implications for Text-Based Information Processing Systems (NK).
CIKMCIKM-1997-YoonSP #data mining #mining #query #using
Intensional Query Processing Using Data Mining Approaches (SCY, IYS, EKP), pp. 201–208.
KDDKDD-1997-BreitnerSW #database
Process-Based Database Support for the Early Indicator Method (CB, JS, RW), pp. 131–134.
KDDKDD-1997-ZhongLKO #process
KDD Process Planning (NZ, CL, YK, SO), pp. 291–294.
SIGIRSIGIR-1997-KlavansTJ #automation #effectiveness #multi #natural language #semiparsing #speech
Effective Use of Natural Language Processing Techniques for Automatic Conflation of Multi-Word Terms: The Role of Derivational Morphology, Part of Speech Tagging, and Shallow Parsing (ET, JK, CJ), pp. 148–155.
UMLUML-1997-NuttgensFZ #integration #modelling #process #question #uml
Business Process Modeling with EPC and UML: Transformation or Integration? (MN, TF, VZ), pp. 250–261.
UMLUML-1997-WolfBP #process #re-engineering #uml
Software Engineering Process with the UML (MW, RB, IP), pp. 271–280.
ECOOPECOOP-1997-Lunau #architecture #process
A Reflective Architecture for Process Control Applications (CPL), pp. 170–189.
TOOLSTOOLS-ASIA-1997-TaniarR #database #object-oriented #parallel #perspective
Parallelization and Object-Orientation: A Database Processing Point of View (DT, JWR), pp. 244–253.
TOOLSTOOLS-ASIA-1997-WangWDR #framework #query
A Uniform Framework for Processing Temporal Object Queries (LW, MW, CD, NR), pp. 28–37.
TOOLSTOOLS-ASIA-1997-XiaopengTT #component #named
PCLAgenda: A Process-Centered Support Environment for Component Software (WX, HT, FT), pp. 232–237.
TOOLSTOOLS-USA-1997-Belkhatir #distributed #framework #object-oriented #process
An Object Oriented Framework for Distributed, Interoperable Process Engineering Environments (NB), p. 318–?.
POPLPOPL-1997-Halbwachs #automation #linear #network #process #verification
Automatic Verification of Parameterized Linear Networks of Processes (DL, NH, PR), pp. 346–357.
RERE-1997-DarimontS #approach #requirements #reuse
Reusing Operational Requirements: A Process-Oriented Approach (RD, JS), p. 16–?.
SACSAC-1997-BjornN #communication #multi #web
Pre-processed, multilingual communication on the World-Wide Web (MB, CN), pp. 115–117.
SACSAC-1997-PerrizoZK #multi #query
A query processing method for data warehouses which contain multimedia (WP, ZZ, SK), pp. 323–327.
ESECESEC-FSE-1997-Joeris #configuration management #process
Change Management Needs Integrated Process and Configuration Management (GJ), pp. 125–141.
ESECESEC-FSE-1997-PohlW #approach #tool support
A Contextual Approach for Process-Integrated Tools (KP, KW), pp. 176–192.
ESECESEC-FSE-1997-SuttonO #design #process
The Design of a Next-Generation Process Language (SMSJ, LJO), pp. 142–158.
ICSEICSE-1997-BondR #development #process
Redesigning the Systems Development Process (DB, PR), pp. 574–575.
ICSEICSE-1997-BonfattiGM #case study #development #experience #process
An Improved Process for the Development of PLC Software (Experience Report) (FB, GG, PDM), pp. 400–410.
ICSEICSE-1997-BrodmanJ #approach #process #tutorial
A Software Process Improvement Approach Tailored for Small Organizations and Small Projects (Tutorial) (JGB, DLJ), pp. 661–662.
ICSEICSE-1997-Bush #maturity #modelling #process #tutorial
European and American Software Process Maturity Models and Assessments (Tutorial) (MWB), pp. 671–672.
ICSEICSE-1997-Curtis #lessons learnt #process #tutorial
Software Process Improvement: Methods and Lessons Learned (Tutorial) (BC), pp. 624–625.
ICSEICSE-1997-GruhnS #process #tutorial
Software and Business Process Technology (Tutorial) (VG, WS), pp. 639–640.
ICSEICSE-1997-HitomiBT #framework #named #process
Endeavors: A Process System Infrastructure (ASH, GAB, RNT), pp. 598–599.
ICSEICSE-1997-HumphreyO #process #tutorial
The Personal Software Process (PSP): A Full-Day Tutorial (WSH, JWO), pp. 645–646.
ICSEICSE-1997-Korson #development #object-oriented #process #robust #tutorial
A Realistic, Commercially Robust Process for the Development of Object-Oriented Software Systems (Tutorial) (TDK), pp. 626–627.
ICSEICSE-1997-Lehman #modelling #process
Process Modelling — Where Next (MML), pp. 549–552.
ICSEICSE-1997-NguyenWC #case study #evolution #experience #process
Total Software Process Model Evolution in EPOS (Experience Report) (MNN, AIW, RC), pp. 390–399.
ICSEICSE-1997-Osterweil #process
Software Processes Are Software Too, Revisited: An Invited Talk on the Most Influential Paper of ICSE 9 (LJO), pp. 540–548.
CADECADE-1997-WolfS #named #natural language #proving
ILF-SETHEO: Processing Model Elimination Proofs for Natural Language Output (AW, JS), pp. 61–64.
CAVCAV-1997-BaierH #bisimulation #probability #process
Weak Bisimulation for Fully Probabilistic Processes (CB, HH), pp. 119–130.
CAVCAV-1997-TurkPP #process #testing #verification
Verification of a Chemical Process Leak Test Procedure (ALT, STP, GJP), pp. 84–94.
ICLPICLP-1997-DahlTL #natural language
Assumption Grammars for Processing Natural Language (VD, PT, RL), pp. 256–270.
ICLPILPS-1997-Dahl #logic programming #natural language
Logic Programming for Processing Natural Language (Abstract) (VD), pp. 41–42.
LICSLICS-1997-BluteDEP #bisimulation #markov #process
Bisimulation for Labelled Markov Processes (RB, JD, AE, PP), pp. 149–158.
LICSLICS-1997-KanovichI #concurrent #linear #logic #process #specification
Temporal Linear Logic Specifications for Concurrent Processes (Extended Abstract) (MIK, TI), pp. 48–57.
LICSLICS-1997-Kobayashi #calculus #concurrent #process
A Partially Deadlock-Free Typed Process Calculus (NK0), pp. 128–139.
LICSLICS-1997-Rathke #fixpoint #induction #process
Unique Fixpoint Induction for Value-Passing Processes (Extended Abstract) (JR), pp. 140–148.
DACDAC-1996-EdamatsuIH #design #video
Design Methodologies for consumer-use video signal processing LSIs (HE, SI, KH), pp. 497–502.
DACDAC-1996-JohnsonCB #design #markov #metric #process #simulation
Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process (EWJ, LAC, JBB), pp. 185–188.
DACDAC-1996-LalgudiPP #effectiveness #optimisation #problem
Optimizing Systems for Effective Block-Processing: The k-Delay Problem (KNL, MCP, MP), pp. 714–719.
DACDAC-1996-LokanathanBR #concurrent #library #optimisation #process
A Methodology for Concurrent Fabrication Process/Cell Library Optimization (ANL, JBB, JER), pp. 825–830.
DACDAC-1996-NevesF #process #scheduling
Optimal Clock Skew Scheduling Tolerant to Process Variations (JLN, EGF), pp. 623–628.
DACDAC-1996-SuttonD #design #process
A Description Language for Design Process Management (PRS, SWD), pp. 175–180.
ASEKBSE-1996-DellenKM #design #modelling #process
Integrating Software Process Models and Design Rationales (BD, KK, FM), p. 14.
HTHT-1996-BernsteinLMS #hypermedia #process
The Process of Discovery: Hypertext and Scholarship (MB, GPL, EM, JBS), p. 258.
SIGMODSIGMOD-1996-FranklinJK #performance #query #trade-off
Performance Tradeoffs for Client-Server Query Processing (MJF, BÞJ, DK), pp. 149–160.
VLDBVLDB-1996-BerckenS #data access #multi #query
Query Processing Techniques for Multiversion Access Methods (JVdB, BS), pp. 168–179.
VLDBVLDB-1996-GardarinGT #algorithm #cost analysis #database #object-oriented
Cost-based Selection of Path Expression Processing Algorithms in Object-Oriented Databases (GG, JRG, ZHT), pp. 390–401.
CSEETCSEE-1996-Bagert #process
Balancing Process and Product (DJB), pp. 78–84.
CSEETCSEE-1996-BrownWC #architecture #maintenance #process
A Software Maintenance Process Architecture (SMB, NW, JDC), pp. 130–143.
CSEETCSEE-1996-Carpenter #process #re-engineering
Process Improvement for Software Engineering Training (MBC), pp. 172–183.
CSEETCSEE-1996-Ceberio-Verghese #perspective #process
Personal Software Process: A User’s Perspective (ACCV), pp. 52–67.
ITiCSEITiCSE-1996-KwiatkowskiALMCLKS #development #education #parallel #tool support
Teaching parallel processing: development of curriculum and software tools (JK, MA, EL, TM, JCC, JL, HK, SS), pp. 159–161.
ITiCSEITiCSE-1996-MarsdenO #analysis #education #framework #modelling #multi #named #process #tool support
MuPMoTT: a multimedia based tool supporting the teaching of process modelling within a framework of structured system analysis (PM, MO), pp. 116–118.
ITiCSEITiCSE-1996-SanchezV #analysis #education #image #lisp
ViLi (Vision LISP): a software environment for teaching image processing and analysis (JS, JV), p. 234.
ITiCSEITiCSE-1996-Siviter #collaboration #education #process
Computer assistance for managing educational resources and managing collaborative educational processes (DS), pp. 104–106.
TACASTACAS-1996-HermannsMR #algebra #analysis #probability #process
A Construction and Analysis Tool Based on the Stochastic Process Algebra TIPP (HH, VM, MR), pp. 427–430.
TACASTACAS-1996-MichelAV #algebra #process
Permutable Agents in Process Algebras (FM, PA, FV), pp. 187–206.
ICSMEICSM-1996-Bohner #impact analysis #perspective #process
Impact analysis in the software change process: a year 2000 perspective (SAB), pp. 42–51.
ICSMEICSM-1996-Sneed #maintenance #modelling #process
Modelling the maintenance process at Zurich Life Insurance (HMS), p. 217–?.
ICSMEICSM-1996-WeisskopfIMAE #maintenance #process #re-engineering
Maintenance In a Dual-Lifecycle Software Engineering Process (MW, CWI, CM, CA, DE), pp. 142–151.
WCREWCRE-1996-LewisM #embedded #framework #parallel #re-engineering #realtime
Reengineering Real-Time Embedded Software onto a Parallel Processing Platform (BL, DJM), p. 11–?.
WCREWCRE-1996-PenteadoGM #legacy #process
An Overall Process Based on Fusion to Reverse Engineer Legacy Code (RP, FSRG, PCM), pp. 179–188.
WPCWPC-1996-GriswoldAM #flexibility #pattern matching #performance
Fast, Flexible Syntactic Pattern Matching and Processing (WGG, DCA, CM), pp. 144–153.
WPCWPC-1996-Kunz #automation #clustering #comprehension #process
Evaluating process clusters to support automatic program understanding (TK), pp. 198–207.
WPCWPC-1996-Wong #comprehension #on the #process
On Inserting Program Understanding Technology into the Software Change Process (KW), pp. 90–99.
ICALPICALP-1996-BodeiDP #distributed #mobile #process
Mobile Processes with a Distributed Environment (CB, PD, CP), pp. 490–501.
ICALPICALP-1996-BosscherG #decidability #process #scalability
Regularity for a Large Class of Context-Free Processes is Decidable (DJBB, WODG), pp. 182–193.
ICALPICALP-1996-ShuklaHRS #complexity #finite #on the #problem #process #relational
On the Complexity of Relational Problems for Finite State Processes (Extended Abstract) (SKS, HBHI, DJR, RES), pp. 466–477.
FMFME-1996-BoerH #communication #nondeterminism #process
Local Nondeterminism in Asynchronously Communicating Processes (FSdB, MvH), pp. 367–384.
IFLIFL-1996-Assmann #coordination #functional #petri net #process #using
Coordinating Functional Processes Using Petri Nets (CA), pp. 162–183.
CSCWCSCW-1996-GlancePP #flexibility #process
Generalized Process Structure Grammars GPSG for Flexible Representations of Work (NSG, DP, RP), pp. 180–189.
CSCWCSCW-1996-Greenbaum #case study #process
Back to Labor: Returning to Labor Process Discussions in the Study of Work (JG), pp. 229–237.
CSCWCSCW-1996-Martial #process #re-engineering #tutorial
Business Process Reengineering and its Role in Developing CSCW Applications (Tutorial) (FvM), p. 6.
CSCWCSCW-1996-MoranCHKMM #case study #collaboration #process
Evolutionary Engagement in an Ongoing Collaborative Work Process: A Case Study (TPM, PC, SRH, GK, SLM, WvM), pp. 150–159.
AdaEuropeAdaEurope-1996-EmeryM #development #process
HCSD Unit Development Process: Step-Wise Process Improvement (DEE, JM), pp. 111–122.
AdaTRI-Ada-1996-KlinglerS #architecture #implementation #named #process
DAGAR: A Process for Domain Architecture Definition and Asset Implementation (CDK, JS), pp. 231–245.
AdaTRI-Ada-1996-ParrishCLM #ada #assessment #learning #process #re-engineering
Active Learning and Process Assessment: Two Experiments in an Ada-Based Software Engineering Course (ASP, DC, CL, DM), pp. 157–161.
CAiSECAiSE-1996-CastanoA #analysis #process #unification
Techniques for Process Analysis and Unification (SC, VDA), pp. 234–254.
KDDAKDDM-1996-BrachmanA #database #information management #process
The Process of Knowledge Discovery in Databases (RJB, TA), pp. 37–57.
CIKMCIKM-1996-BayardoM #query
Processing Queries for First Few Answers (RJBJ, DPM), pp. 45–52.
CIKMCIKM-1996-LeeO #automation #distributed #query
Distributed Processing of Time-Constrained Queries in CASE-DB (SL, ), pp. 279–287.
CIKMCIKM-1996-LeePHC #composition #query #using
Spatial Query Processing Using Object Decomposition Method (YJL, HHP, NHH, CWC), pp. 53–61.
CIKMCIKM-1996-LinO #algebra #query
Processing OODB Queries by O-Algebra (JL, ZMÖ), pp. 134–142.
CIKMCIKM-1996-LiW #online
A Data Model for Supporting On-Line Analytical Processing (CL, XSW), pp. 81–88.
CIKMCIKM-1996-Pulido #graph #query #recursion #traversal #using
Recursive Query Processing Using Graph Traversal Techniques (EP), pp. 37–44.
CIKMCIKM-1996-ShinKC #named #performance #query
S-signature: A New Scheme for Efficient Query Processing of Complex Objects in OODB (HS, KK, JWC), pp. 207–214.
ICPRICPR-1996-0005SBB #capacity #image
Image processing to overcome channel capacity limitations in telemicroscopy (JS, PS, BB, JB), pp. 929–933.
ICPRICPR-1996-AizenbergAK #image #learning #multi #network #pattern matching #pattern recognition #recognition
Multi-valued and universal binary neurons: mathematical model, learning, networks, application to image processing and pattern recognition (NNA, INA, GAK), pp. 185–189.
ICPRICPR-1996-Alexandre #invariant #pattern matching #pattern recognition #recognition
Connectionist cognitive processing for invariant pattern recognition (FA), pp. 679–683.
ICPRICPR-1996-ChenL #process #simulation
Simulation of closure process for line patterns (YSC, TDL), pp. 215–219.
ICPRICPR-1996-DarrellP #gesture #markov #process #recognition #using
Active gesture recognition using partially observable Markov decision processes (TD, AP), pp. 984–988.
ICPRICPR-1996-Draper #markov #modelling #process #recognition
Modeling object recognition as a Markov decision process (BAD), pp. 95–99.
ICPRICPR-1996-FejesR #migration #process
Migration processes (SF, AR), pp. 345–349.
ICPRICPR-1996-FischlS #adaptation #approximate #image
Learned adaptive nonlinear filtering for anisotropic diffusion approximation in image processing (BF, ELS), pp. 276–280.
ICPRICPR-1996-GroBertKN #approach #fuzzy #image
A new approach to fuzzy morphology based on fuzzy integral and its application in image processing (SG, MK, BN), pp. 625–630.
ICPRICPR-1996-MaoAM #modelling
A model-based form processing sub-system (JM, MA, KMM), pp. 691–695.
ICPRICPR-1996-MottlMBK #image #problem
Hidden tree-like quasi-Markov model and generalized technique for a class of image processing problems (VM, IBM, AB, AK), pp. 715–719.
ICPRICPR-1996-NakajimaTKY #analysis #layout #process #verification
Analysis of address layout on Japanese handwritten mail-a hierarchical process of hypothesis verification (NN, TT, TK, KY), pp. 726–731.
ICPRICPR-1996-NolleS #concept #image #parallel
Data distribution concepts for parallel image processing (MN, GS), pp. 728–733.
ICPRICPR-1996-OlkJ #image #paradigm
Bucket processing: a paradigm for image processing (JGEO, PPJ), pp. 386–390.
ICPRICPR-1996-ParodiP #documentation #image #performance #preprocessor
An efficient pre-processing of mixed-content document images for OCR systems (PP, GP), pp. 778–782.
ICPRICPR-1996-Pavlidis #bottom-up #challenge #documentation #process #recognition #top-down
Challenges in document recognition bottom up and top down processes (TP), pp. 500–504.
ICPRICPR-1996-PhillipsHD #algorithm #performance #process #recognition
An efficient registration and recognition algorithm via sieve processes (PJP, JH, SMD), pp. 775–779.
ICPRICPR-1996-RanganathanBV #array #image #linear
A dynamic frequency linear array processor for image processing (NR, NB, NV), pp. 611–615.
ICPRICPR-1996-SaidCS #performance
Dynamical morphological processing: a fast method for base line extraction (JNS, MC, CYS), pp. 8–12.
ICPRICPR-1996-SchackK #information management #parametricity
Instantaneous coherence as a sensible parameter for considering human information processing (BS, WK), pp. 45–49.
ICPRICPR-1996-TakasuKYIOA #approximate
Approximate matching for OCR-processed bibliographic data (AT, NK, MY, OI, KO, JA), pp. 175–179.
ICPRICPR-1996-TakatooOK #detection #image #using
Detection of objects including persons using image processing (MT, CO, YK), pp. 466–472.
ICPRICPR-1996-TrierTJ
Gray scale processing of hydrographic maps (ØDT, TT, AKJ), pp. 870–874.
SEKESEKE-1996-AllouiO #multi #process
Peace+: A Multi-Agent System for Computer-supported Cooperative work in Software Process Centered Environments (IA, FO), pp. 465–473.
SEKESEKE-1996-CheeJR #process #query
An Intelligent Process for Formulating and Anwering Project Queries (CLC, SJ, CVR), pp. 309–316.
SEKESEKE-1996-ChenKPS #development #hybrid #information management #process
The Development of Hybrid Information Systems: a Process Model an Methodology (XC, SK, IP, PS), pp. 51–58.
SEKESEKE-1996-CleavelandLLS #formal method #process #realtime #testing
A Theory of Testing for Soft Real-Time Processes (RC, IL, PML, SAS), pp. 474–479.
SEKESEKE-1996-FoxBM #automation #debugging #fault #pascal #process #syntax
Automating the Debugging Process of Pascal Syntax Errors (RF, CB, JM), pp. 92–99.
SEKESEKE-1996-SimmonsEK #process
Software Process Agents (DBS, NCE, WK), pp. 323–329.
SEKESEKE-1996-VerlageDMM #process #synthesis
A Synthesis of Two Process Support Approaches (MV, BD, FM, JM), pp. 59–68.
SEKESEKE-1996-Zadrozny #complexity #natural language
Natural Language Processing: Structure and Complexity (WZ), pp. 595–602.
SIGIRSIGIR-1996-LiuLYR #2d #memory management #performance #proximity #query
Efficient Processing of One and Two Dimensional Proximity Queries in Associative Memory (KLL, GJL, CTY, NR), pp. 138–146.
PPDPALP-1996-BrimJGK #algebra #concurrent #constraints #process #programming
A Process Algebra for Synchronous Concurrent Constraint Programming (LB, JMJ, DRG, MK), pp. 165–178.
PPDPALP-1996-Caires #logic #process #specification
A Language for the Logical Specification of Processes and Relations (LC), pp. 150–164.
PPDPPLILP-1996-FrancescoS #concurrent #process
Unfold/Fold Transformations of Concurrent Processes (NDF, AS), pp. 167–181.
PPDPPLILP-1996-GalanPP #functional #process
Functional Skeletons Generate Process Topologies in Eden (LAG, CP, RP), pp. 289–303.
POPLPOPL-1996-Honda #process
Composing Processes (KH), pp. 344–357.
REICRE-1996-OsborneM #natural language #requirements #specification
Processing Natural Language Software Requirement Specifications (MO, CKM), pp. 229–237.
REICRE-1996-RollandP #modelling #process #using
Using Generic Method Chunks to Generate Process Models Fragments (CR, VP), pp. 173–181.
SACSAC-1996-GabrielliGM #design #fuzzy #process
VLSI design of a fuzzy chip that processes 2-4 inputs every 160-320 ns whichever is the fuzzy system (AG, EG, MM), pp. 590–594.
SACSAC-1996-HerrmannHS #concept #fuzzy
Fuzzy conceptual knowledge processing (CSH, SH, AS), pp. 628–632.
SACSAC-1996-LeeHHC #case study #how #implementation #performance #problem #process #set
Efficient implementations of two variant subset sum problems: a case study of how to process appraisal books resulting from fire-destroyed money (PL, FYH, CYH, HTC), pp. 230–237.
SACSAC-1996-LiuC #algorithm #distributed #heuristic #query
A heuristic algorithm for partition strategy in distributed query processing (CL, HC), pp. 196–200.
SACSAC-1996-Neubauer #adaptation #algorithm #search-based
Genetic algorithms for non-linear adaptive filters in digital signal processing (AN), pp. 519–522.
ICSEICSE-1996-AvrilionisCF #evolution #named #process #reuse
OPSIS: A View Mechanism for Software Processes Which Supports Their Evolution and Reuse (DA, PYC, CF), pp. 38–47.
ICSEICSE-1996-BasiliBCKMV #comprehension #maintenance #predict #process
Understanding and Predicting the Process of Software Maintenance Release (VRB, LCB, SEC, YMK, WLM, JDV), pp. 464–474.
ICSEICSE-1996-GodartCCMS #architecture #design #implementation #lessons learnt #process
Designing and Implementing COO: Design Process, Architectural Style, Lessons Learned (CG, GC, FC, PM, HS), pp. 342–352.
ICSEICSE-1996-HartP #automation #process #scalability
A Scalable, Automated Process for Year 2000 System Correction (JMH, AP), pp. 475–484.
ICSEICSE-1996-HeimannJKW #named #process
DYNAMITE: Dynamic Task Nets for Software Process Management (PH, GJ, CAK, BW), pp. 331–341.
ICSEICSE-1996-Madachy #modelling #process
System Dynamics Modeling of an Inspection-Based Process (RJM), pp. 376–386.
CCCC-1996-FritzonWHSS #array #bytecode #debugging #distributed #parallel #process
A Parallel Debugger with Support for Distributed Arrays, Multiple Executables and Dynamic Processes (PF, RW, OH, JS, PS), pp. 341–355.
HPCAHPCA-1996-MarkatosK #clustering #named #network #parallel
Telegraphos: High-Performance Networking for Parallel Processing on Workstation Clusters (EPM, MK), pp. 144–153.
HPCAHPCA-1996-YangSD #parallel #query
Parallel Intersecting Compressed Bit Vectors in a High Speed Query Server for Processing Postal Addresses (WjY, RS, VD), pp. 232–241.
HPDCHPDC-1996-Al-TawilBN #distributed #migration #process
A Process Migration Subsystem for a Workstation-Based Distributed System (KMAT, MB, SKN), pp. 511–520.
HPDCHPDC-1996-HarrisonCGB #collaboration #development #process #simulation
Virtual Collaborative Simulation Environment for Integrated Product and Process Development (JPH, BC, MG, JB), pp. 19–22.
HPDCHPDC-1996-Sapaty #mobile
Mobile Processing in Open Systems (PS), pp. 182–191.
CAVCAV-1996-Walukiewicz #automaton #game studies #model checking #process
Pushdown Processes: Games and Model Checking (IW), pp. 62–74.
LICSLICS-1996-NatarajanC #algebra #performance #process
An Algebraic Theory of Process Efficiency (VN, RC), pp. 63–72.
DACDAC-1995-NarayanG #generative #interface #process #protocol #using
Interfacing Incompatible Protocols Using Interface Process Generation (SN, DG), pp. 468–473.
DACDAC-1995-XiD #power management #process
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution (JGX, WWMD), pp. 491–496.
ASEKBSE-1995-SatoTYWH #process #re-engineering #synthesis
Domain-Oriented Software Process Re-engineering with Software Synthesis Shell SOFTEX/S (AS, MT, TY, MW, MH), pp. 97–104.
ICDARICDAR-v1-1995-Baier #documentation #forensics #image
Image processing of forensic documents (PEB), pp. 1–4.
ICDARICDAR-v1-1995-Chen #identification #image
Computer processing on the identification of a Chinese seal image (YSC), pp. 422–425.
ICDARICDAR-v1-1995-CherietSS #documentation #formal method
A formal model for document processing of business forms (MC, JNS, CYS), pp. 210–213.
ICDARICDAR-v1-1995-GuillevicS #recognition
Cursive script recognition applied to the processing of bank cheques (DG, CYS), pp. 11–14.
ICDARICDAR-v1-1995-HoriuchiTYY #optimisation #probability #process
Relaxation optimizing processes in extended probabilistic space (TH, KT, KY, HY), pp. 266–269.
ICDARICDAR-v1-1995-LeTW #analysis #documentation #image #using
Document image analysis using integrated image and neural processing (DXL, GRT, HW), pp. 327–330.
ICDARICDAR-v1-1995-SrihariSRL
Name and Address Block Reader system for tax form processing (SNS, YCS, VR, DSL), pp. 5–10.
ICDARICDAR-v1-1995-TakasuSK #documentation #image #learning
A rule learning method for academic document image processing (AT, SS, EK), pp. 239–242.
ICDARICDAR-v2-1995-AbuhaibaDH95a #approximate #documentation
Processing of text documents: straight line approximation and lost loop recovery (ISIA, SD, MJJH), pp. 1157–1160.
ICDARICDAR-v2-1995-PaiWCT #documentation
An intelligent Chinese official document processing system (TWP, TMW, GHC, PYT), pp. 974–977.
ICDARICDAR-v2-1995-WiedenhiferHD #automation
Post-processing of OCR results for automatic indexing (LW, HGH, AD), pp. 592–596.
SIGMODSIGMOD-1995-Gettys #challenge #transaction
DIRECTV and Oracle Rdb: The Challenges of VLDB Transaction Processing (WLG), pp. 429–430.
SIGMODSIGMOD-1995-Hope #enterprise #transaction
Enterprise Transaction Processing on Windows NT (GH), pp. 431–432.
SIGMODSIGMOD-1995-ThomasDM #design #implementation
Design and Implementation of Advanced Knowledge Processing in the KDMS KRISYS (Demonstration Description) (JT, SD, NMM), p. 479.
VLDBVLDB-1995-FlodinR #bound #object-oriented #query
Processing Object-Oriented Queries with Invertible Late Bound Functions (SF, TR), pp. 335–344.
VLDBVLDB-1995-GuptaHQ
Aggregate-Query Processing in Data Warehousing Environments (AG, VH, DQ), pp. 358–369.
VLDBVLDB-1995-Sarawagi #database #memory management #query
Query Processing in Tertiary Memory Databases (SS), pp. 585–596.
CSEETCSEE-1995-BaymanBCD #process
A Skills-Driven Process for Training Computer Professionals (SB, MHB, HC, CD), pp. 403–407.
CSEETCSEE-1995-BeckmanB #process
CMM-Based Software Process Improvement Training: The First Year (KB, RB), p. 117.
CSEETCSEE-1995-FortinJLUV #approach #industrial #process
Is this Training? A Unique Approach to Software Process Training in Industry (PDF, CAJ, JRL, KBU, AV), pp. 409–417.
CSEETCSEE-1995-Holeman #game studies #process
The Software Process Improvement Game (RH), p. 261.
CSEETCSEE-1995-Humphrey #process #re-engineering
The Personal Process in Software Engineering (WSH), p. 447.
CSEETCSEE-1995-Jacquot #education #process
The Process of Teaching Process (JPJ), pp. 147–156.
CSEETCSEE-1995-KhajenooriH #process
Personal Software Process: An Experiential Report (SK, IH), pp. 131–145.
CSEETCSEE-1995-MooreB #process
Process Improvement in the Classroom (MMM, TJB), pp. 123–130.
TACASTACAS-1995-FrancescoFGI #approximate #finite #model checking #process
Model Checking of Non-Finite State Processes by Finite Approximations (NDF, AF, SG, PI), pp. 195–215.
TACASTACAS-1995-Tofts #approximate #probability #process
Analytic and Locally Approximate Solutions to Properties of Probabilistic Processes (CMNT), pp. 174–194.
ICSMEICSM-1995-AvrilionisC #maintenance #modelling #petri net #process #using
Using views to maintain Petri-net-based process models (DA, PYC), pp. 318–326.
ICSMEICSM-1995-CanforaFT #process #re-engineering #reuse #towards
Towards reengineering in reuse reengineering processes (GC, ARF, MT), pp. 147–156.
ICSMEICSM-1995-French #case study #experience #legacy #maintenance #process #re-engineering
Applying software engineering and process improvement to legacy defence system maintenance: an experience report (VAF), p. 337–?.
ICSMEICSM-1995-TaramaaMK #framework #process
Improving application management process through qualitative framework (JT, MM, TK), pp. 327–336.
STOCSTOC-1995-KavrakiLMR #query #random
Randomized query processing in robot path planning (Extended Abstract) (LEK, JCL, RM, PR), pp. 353–362.
ICALPICALP-1995-DeganoP #mobile #process
Causality for Mobile Processes (PD, CP), pp. 660–671.
AdaEuropeAdaEurope-1995-Bossard #ada #assessment #design #modelling #realtime
Periodic Processing in Hard Real-Time Systems: Assessment of Different Design Models in Ada (FB), pp. 355–364.
AdaTRI-Ada-1995-Kruchten #architecture #development #process
Software Architecture and Iterative Development Process (PK), pp. 491–539.
CAiSECAiSE-1995-Lehman #process
Process Improvement — The Way Forward (MML), pp. 1–11.
CIKMCIKM-1995-ShrufiT #knowledge base #query #using
Query Processing for Knowledge Bases Using Join Indices (AS, TT), pp. 158–166.
CIKMCIKM-1995-YoonSP #approach #database #deduction #object-oriented #query #semantics #using
Semantic Query Processing in Object-Oriented Databases Using Deductive Approach (SCY, IYS, EKP), pp. 150–157.
SEKESEKE-1995-AyacheF #database #generative #object-oriented #process
A Generation Process of Object-Oriented Databases From E/R Schemas (MA, AF), pp. 147–149.
SEKESEKE-1995-Junkermann #design #process
A Dedicated Process Design Language based on EER-models, Statecharts and Tables (GJ), pp. 487–496.
SEKESEKE-1995-SchaferW #development
Cooperation Patterns for process-centred Software Development Environments (WS, SW), pp. 454–463.
SEKESEKE-1995-Westfechtel #graph #process
A Graph-Based Model for Dynamic Process Nets (BW), pp. 126–130.
SEKESEKE-1995-YoonK #database #deduction #object-oriented #query #semantics
Semantic Query Processing in Deductive Object-Oriented Databases (SCY, CSK), pp. 358–365.
SIGIRSIGIR-1995-FloranceM #information management
Information Processing in the Context of Medical Care (VF, GM), pp. 158–163.
SIGIRSIGIR-1995-PfeiferF #approach #data type #performance #query #using
Efficient Processing of Vague Queries using a Data Stream Approach (UP, NF), pp. 189–197.
PPDPPLILP-1995-Moor #process
A Generic Program for Sequential Decision Processes (OdM), pp. 1–23.
PPDPPLILP-1995-ShimajiriSI #database #logic #query
Goal-Directed Query Processing in Disjunctive Logic Databases (YS, HS, HI), pp. 415–430.
POPLPOPL-1995-BouajjaniEH #composition #infinity #parallel #process #verification
Verifying Infinite State Processes with Sequential and Parallel Composition (AB, RE, PH), pp. 95–106.
RERE-1995-EmamM95a #process #requirements
Measuring the success of requirements engineering processes (KEE, NHM), pp. 204–213.
RERE-1995-HughesORRS #process #requirements
Presenting ethnography in the requirements process (JAH, JO, TR, MR, IS), pp. 27–39.
SACSAC-1995-BaudinoCMS #set
Processing sets on a SIMD machine (AB, GC, GM, GS), pp. 593–598.
SACSAC-1995-BhattM #distributed #fault tolerance #process
A front-end process for fault tolerant distributed systems (PB, RAM), pp. 411–414.
SACSAC-1995-BlochM #fuzzy #image
Fuzzy distances and image processing (IB, HM), pp. 570–574.
SACSAC-1995-KaedingF #multi #paradigm
Processing unexact information in a medical used multiparadigm system (AKK, TF), pp. 590–592.
SACSAC-1995-KasaraneniJA #distributed #energy #multi #physics
Load balancing in a distributed processing system for high-energy physics(UFMulti) (JK, TJ, PA), pp. 177–181.
SACSAC-1995-KumblaJB #algorithm #fuzzy #implementation #logic #network #using
Implementation of fuzzy logic and neural networks control algorithm using a digital signal processing chip (KKK, MJ, JBR), pp. 524–528.
SACSAC-1995-SaiedianM #case study #framework #process #quality
A study of two frameworks for quality software process (HS, LMM), pp. 434–439.
SACSAC-1995-SmitsBSD #approach #fuzzy #image #using
An image processing approach using fuzzy topology (PCS, DdB, AS, SGD), pp. 557–561.
ESECESEC-1995-BarghoutiKC #interactive #multi #named #process #visualisation
Improvise: Interactive Multimedia Process Visualization Environment (NSB, EK, EC), pp. 28–43.
ESECESEC-1995-GrawG #distributed #modelling #process
Distributed Modeling and Distributed Enaction of Business Processes (GG, VG), pp. 8–27.
ESECESEC-1995-VottaZ #case study #design #process #using
Design Process Improvement Case Study Using Process Waiver Data (LGV, MLZ), pp. 44–58.
ICSEICSE-1995-BarghoutiK #constraints #monitoring #process #using
Using Event Contexts and Matching Constraints to Monitor Software Processes (NSB, BK), pp. 83–92.
ICSEICSE-1995-CattaneoFL #assessment #experience #process
An Experience in Process Assessment (FC, AF, LL), pp. 115–121.
ICSEICSE-1995-ChungN #approach #non-functional #requirements
Dealing with Non-Functional Requirements: Three Experimental Studies of a Process-Oriented Approach (LC, BAN), pp. 25–37.
ICSEICSE-1995-ClarkeL #algebra #constraints #process #realtime #testing
Testing Real-Time Constraints in a Process Algebraic Setting (DC, IL), pp. 51–60.
ICSEICSE-1995-CookW #automation #data analysis #process
Automating Process Discovery Through Event-Data Analysis (JEC, ALW), pp. 73–82.
ICSEICSE-1995-CugolaNGM #how #process
How to Deal With Deviations During Process Model Enactment (GC, EDN, CG, MM), pp. 265–273.
ICSEICSE-1995-LeonhardtKN #development #distributed #multi #process
Decentralised Process Enactment in a Multi-Perspective Development Environment (UL, JK, BN), pp. 255–264.
ICSEICSE-1995-TanakaSKMK #estimation #process
Improvement of Software Process by Process Description and Benefit Estimation (TT, KS, SK, KiM, TK), pp. 123–132.
HPCAHPCA-1995-KawanoKTA #architecture #parallel #thread
Fine-Grain Multi-Thread Processor Architecture for Massively Parallel Processing (TK, SK, RiT, MA), pp. 308–317.
HPDCHPDC-1995-BaratlooDK #distributed #fault tolerance #named #novel #parallel
CALYPSO: A Novel Software System for Fault-Tolerant Parallel Processing on Distributed Platforms (AB, PD, ZMK), pp. 122–129.
HPDCHPDC-1995-FerrariS #concurrent #distributed #named #process
TPVM: Distributed Concurrent Computing with Lighweight Processes (AF, VSS), pp. 211–218.
HPDCHPDC-1995-RamanjuanBT #approach #clustering #memory management #network #parallel
Network Shared Memory: A New Approach for Clustering Workstations for Parallel Processing (RSR, JB, KJT), pp. 48–56.
HPDCHPDC-1995-SalehiKT #network #parallel #performance #scheduling
The Performance Impact of Scheduling for Cache Affinity in Parallel Network Processing (JDS, JFK, DFT), pp. 66–77.
LCTESLCT-RTS-1995-ThoenCGM #information management #realtime #synthesis
Software Synthesis for Real-Time Information Processing Systems (FT, MC, GG, HDM), pp. 60–69.
PPoPPPPoPP-1995-Philippsen #array #automation #communication #process
Automatic Alignment of Array Data and Processes to Reduce Communication Time on DMPPs (MP), pp. 156–165.
SOSPSOSP-1995-GopalakrishnanP #protocol #realtime
A Real-time Upcall Facility for Protocol Processing with QoS Guarantees (RG, GMP), p. 231.
SOSPSOSP-1995-Harchol-BalterD #process
Exploiting Process Lifetime Distributions for Dynamic Load Balancing (MHB, ABD), p. 236.
CAVCAV-1995-DsouzaB #algebra #generative #modelling #process
Generating BDD Models for Process Algebra Terms (AD, BB), pp. 16–30.
CAVCAV-1995-EsparzaK #branch #logic #model checking #on the #parallel #problem #process
On the Model Checking Problem for Branching Time Logics and Basic Parallel Processes (JE, AK), pp. 353–366.
ICLPICLP-1995-JacquetB #concurrent #logic #on the #process
On Composing Concurrent Logic Processes (JMJ, KDB), pp. 531–545.
ICLPICLP-1995-ShimajiriSI #database #logic #query
Making Query Processing Goal-Directed in Disjunctive Logic Databases (YS, HS, HI), p. 820.
LICSLICS-1995-BouajjaniEH #on the #problem #process #verification
On the Verification Problem of Nonregular Properties for Nonregular Processes (AB, RE, PH), pp. 123–133.
LICSLICS-1995-Edalat #probability #process
Domain Theory in Stochastic Processes (AE), pp. 244–254.
LICSLICS-1995-GayN #calculus #process
A Typed Calculus of Synchronous Processes (SJG, RN), pp. 210–220.
LICSLICS-1995-JonssonY #composition #probability #process #testing
Compositional Testing Preorders for Probabilistic Processes (BJ, WY), pp. 431–441.
LICSLICS-1995-MiculanG #process
Modal μ-Types for Processes (MM, FG), pp. 221–231.
DACDAC-1994-Radtke #design #hardware #process #scalability
The AT&T 5ESS Hardware Design Environment: A Large System’s Hardware design Process (KAR), pp. 527–531.
DATEEDAC-1994-DufourN #design #independence #process
An Optimizable Model for Process Independent Symbolic Design (JCD, JFN), p. 660.
DATEEDAC-1994-JohanssonVG #performance
“Underground Capacitors” Very Efficient Decoupling for High Performance UHF Signal Processing ICs (TJ, LRV, JMG), p. 655.
ASEKBSE-1994-TongKP #flexibility #re-engineering
A Flexible Rule-Chaining Engine for Process-Based Software Engineering (AZT, GEK, SSP), pp. 79–88.
SIGMODSIGMOD-1994-Ballinger #evolution #parallel
Evolving Teradata Decision Support for Massively Parallel Processing with UNIX (CB), p. 490.
SIGMODSIGMOD-1994-BrinkhoffKSS #multi
Multi-Step Processing of Spatial Joins (TB, HPK, RS, BS), pp. 197–208.
SIGMODSIGMOD-1994-BrinkhoffKSS94a #named #performance #query
GENESYS: A System for Efficient Spatial Query Processing (TB, HPK, RS, BS), p. 519.
SIGMODSIGMOD-1994-DewanSHH #distributed #parallel #predict #query
Predictive Dynamic Load Balancing of Parallel and Distributed Rule and Query Processing (HMD, SJS, MAH, JJH), pp. 277–288.
SIGMODSIGMOD-1994-SeshadriLR #query #sequence
Sequence Query Processing (PS, ML, RR), pp. 430–441.
SIGMODSIGMOD-1994-SinghTW #transaction
Relaxed Transaction Processing (MPS, CT, DW), p. 505.
VLDBVLDB-1994-ShatdalKN #algorithm #query #relational
Cache Conscious Algorithms for Relational Query Processing (AS, CK, JFN), pp. 510–521.
CSEETCSEE-1994-McCartyP #how #maturity #process #question
How Mature is Your Software Process? (WBM, GTP), pp. 555–564.
CSEETCSEE-1994-RobillardMD #education #process #self
Process Self-Assessment in an Educational Context (PNR, JM, JND), pp. 211–225.
CSEETCSEE-1994-Werth #process
An Adventure in Software Process Improvement (LHW), pp. 191–210.
ICSMEICSM-1994-BelkhatirM #behaviour #evolution #process
Evolving Software Processes by Tailoring the Behavior of Software Objects (NB, WLM), pp. 212–221.
ICSMEICSM-1994-BriandBKS #analysis #maintenance #process
A Change Analysis Process to Characterize Software Maintenance Projects (LCB, VRB, YMK, DRS), pp. 38–49.
ICSMEICSM-1994-CherinkaOCR #automation #perspective #process
Issues in Software Process Automation — From a Practical Perspective (RC, CMO, LAC, JR), pp. 109–118.
ICSMEICSM-1994-HeinemanK #incremental #process #re-engineering
Incremental Process Support for Code Reengineering (GTH, GEK), pp. 282–290.
ICSMEICSM-1994-Hildreth #requirements #reverse engineering
Reverse Engineering Requirements for Process-Control Software (HH), pp. 316–325.
ICSMEICSM-1994-OmanGSTS #maintenance #process #towards
Towards interoperable maintenance processes (PWO, BG, JS, JT, GES), p. 292.
ICALPICALP-1994-Hennessy #higher-order #modelling #process
Higher-Order Process and Their Models (MH), pp. 286–303.
FMFME-1994-FencottGLOP #algebra #formal method #modelling #process #semantics #using
Formalising the Semantics of Ward/Mellor SA/RT Essential Models using a Process Algebra (PCF, AG, MAL, SJO, SP), pp. 681–702.
FMFME-1994-KeaneSW #concurrent #framework #modelling #process
Applying a Concurrent Formal Framework to Process Modelling (JAK, JS, BW), pp. 291–305.
FMFME-1994-RossL #behaviour #modelling #precise #process
A Precise Examination of the Behaviour of Process Models (KJR, PAL), pp. 251–270.
ICGTTAGT-1994-Barthelmann #process #specification #verification
Process Specification and Verification (KB), pp. 225–239.
AdaEuropeAdaEurope-1994-NunezP #constraints #development #lightweight #process
Development of a Lightweight Object-Based Software Process Model Under Pragmatic Constraints (FJN, RP), pp. 231–257.
AdaTRI-Ada-1994-Moody #architecture #execution #multi #process
The STARS Process Engine: Language and Architecture to Support Process Capture and Multi-User Execution (SAM), pp. 4–15.
AdaTRI-Ada-1994-Pena #bibliography #implementation #lessons learnt #process
Lessons Learned in Implementing a Team Review Process (RP), pp. 24–28.
AdaTRI-Ada-1994-Riley #approach #modelling #object-oriented #process
An Object-Oriented Approach to Software Process Modeling and Definition (JDR), pp. 16–22.
AdaTRI-Ada-1994-ShumateS #metric #process
Software Project Reporting: Management, Measurement, and Process Improvement (KS, TS), pp. 41–45.
AdaTRI-Ada-1994-Vazquez #development #process
Selecting a Software Development Process (FV), pp. 209–218.
CAiSECAiSE-1994-AntonMP #analysis #composition #process #re-engineering
Goal Decomposition and Scenario Analysis in Business Process Reengineering (AIA, WMM, CP), pp. 94–104.
CAiSECAiSE-1994-Lonchamp #collaboration #kernel
A Collaborative Process-Centered Environment Kernel (JL), pp. 28–41.
CAiSECAiSE-1994-Marttiin #automation #flexibility #process #towards
Towards Flexible Process Support with a CASE Shell (PM), pp. 14–27.
CAiSECAiSE-1994-Prakash #process
A Process View of Methodologies (NP), pp. 339–352.
CAiSECAiSE-1994-SeoL #data type #formal method #process #reuse #using
Formalisation of Data and Process Model Reuse Using Hierarchic Data Types (DS, PL), pp. 256–268.
CIKMCIKM-1994-ArefS #database #process #proximity
Hashing by Proximity to Process Duplicates in Spatial Databases (WGA, HS), pp. 347–354.
CIKMCIKM-1994-LeeL #database #object-oriented #query #using
Using Path Information for Query Processing in Object-Oriented Database Systems (DLL, WCL), pp. 64–71.
ICMLICML-1994-Pereira #bias #machine learning #natural language #problem
Frequencies vs. Biases: Machine Learning Problems in Natural Language Processing — Abstract (FCNP), p. 380.
ICMLICML-1994-SinghJJ #learning #markov #process
Learning Without State-Estimation in Partially Observable Markovian Decision Processes (SPS, TSJ, MIJ), pp. 284–292.
KDDKDD-1994-BrachmanA #database #information management #process #sketching
The Process of Knowledge Discovery in Databases: A First Sketch (RJB, TA), pp. 1–12.
SEKESEKE-1994-AbbattistaLV #architecture #development
Open architecture for a process-centered development environment (FA, FL, GV), pp. 405–413.
SEKESEKE-1994-ArfaFMMS #process #verification
A process for verification based inspections (LBAR, MF, RM, AM, DRS), pp. 100–107.
SEKESEKE-1994-ChiangWBN #automation #documentation #named #programming #visual notation
DocFlow: an event-driven visual programming environment for office automation through document processing (SCYC, JTLW, MPB, PAN), pp. 454–461.
SEKESEKE-1994-Kramer #modelling #petri net #process
Rule-enhanced Petri nets for software process modeling (BJK), pp. 493–500.
SEKESEKE-1994-Rolland #approach #process #requirements
A contextual approach for the requirements engineering process (CR), pp. 28–35.
ECOOPECOOP-1994-LopesL #concurrent #object-oriented
Abstracting Process-to-Function Relations in Concurrency Object-Oriented Applications (CVL, KJL), pp. 81–99.
TOOLSTOOLS-EUROPE-1994-Graham #analysis #case study #object-oriented #process #re-engineering #requirements
Beyond the Use Case: Combining Task Analysis and Scripts in Object-Oriented Requirements Capture and Business Process Re-engineering (IMG), pp. 203–215.
TOOLSTOOLS-EUROPE-1994-SuppiahLJ #constraints #eiffel
Expressing and Processing Constraints in Eiffel (AS, TL, ACJ), pp. 337–347.
POPLPOPL-1994-CleavelandY #framework #process
An Operational Framework for Value-Passing Processes (RC, DY), pp. 326–338.
POPLPOPL-1994-HondaY #combinator #mobile #process #representation
Combinatory Representation of Mobile Processes (KH, NY), pp. 348–360.
REICRE-1994-Soares #concept #process #specification
Underlying concepts in process specification (JOPS), pp. 48–52.
SACSAC-1994-Bloch #fuzzy #image #set
Fuzzy sets in image processing (IB), pp. 175–179.
SACSAC-1994-Goblirsch #haskell
An introduction to Haskell with applications to digital signal processing (DMG), pp. 425–430.
SACSAC-1994-LeeL #concurrent #constraints #incremental #logic programming #named #nondeterminism #query #towards
IQ: towards incremental query processing in non-deterministic concurrent constraint logic programming (JHML, HfL), pp. 405–409.
SACSAC-1994-PandaPH #database #multi #query #transaction
Secure transaction management and query processing in multilevel secure database systems (BP, WP, RAH), pp. 363–368.
SACSAC-1994-Sun #modelling #process
Modelling software process change for cooperative work (YS), pp. 77–81.
SACSAC-1994-ZuhlkeL #automation #process #sorting
Application of fuzzy-logic in an automatic sorting process (DZ, ML), pp. 131–135.
ICSEICSE-1994-Ben-ShaulK #distributed #modelling #paradigm #process
A Paradigm for Decentralized Process Modeling and Its Realization in the Oz Environment (IBS, GEK), pp. 179–188.
ICSEICSE-1994-Curtis #process
A Process for Hitting Paydirt (BC), p. 369.
ICSEICSE-1994-GargMPST #approach #process
The SMART Approach for Software Process Engineering (PKG, PM, TP, WS, GT), pp. 341–350.
ICSEICSE-1994-Johnson94a #case study #experience #process
Software Process Improvement Experience in the DP/MIS Function: Experience Report (AJ), pp. 323–329.
ICSEICSE-1994-MayrhauserV #comprehension #maintenance #process #scalability
Comprehension Processes During Large Scale Maintenance (AvM, AMV), pp. 39–48.
ICSEICSE-1994-TakadaMT #debugging #performance #process #testing
A Programmer Performance Measure Based on Programmer State Transitions in Testing and Debugging Process (YT, KiM, KT), pp. 123–132.
ICSEICSE-1994-YasumotoHT #process #using
Software Process Description Using LOTOS and Its Enaction (KY, TH, KT), pp. 169–178.
ICSEICSE-1994-YuM #analysis #comprehension #design #modelling #process #why
Understanding “Why” in Software Process Modelling, Analysis, and Design (ESKY, JM), pp. 159–168.
CCCC-1994-BenkerBZ #array #compilation #fortran #interface #performance
Processing Array Statements and Procedure Interfaces in the PREPARE High Performance Fortran Compiler (SB, PB, HPZ), pp. 324–338.
HPDCHPDC-1994-BorstCS #distributed #network #simulation
WAVE Processing of Networks and Distributed Simulation (PMB, MC, PS), pp. 61–69.
HPDCHPDC-1994-ChiuC #distributed #fault tolerance #performance
Process-Replication Technique for Fault Tolerance and Performance Improvement in Distributed Computing Systems (JFC, GMC), pp. 236–243.
HPDCHPDC-1994-CrandallQ #composition #semistructured data
A Decomposition Advisory System for Heterogeneous Data-Parallel Processing (PC, MJQ), pp. 114–121.
CAVCAV-1994-Hungar #metaprogramming #model checking #process
Model Checking of macro Processes (HH), pp. 169–181.
ICLPICLP-1994-KumonH #concurrent #logic
A New Transformation based on Process-Message Duality for Concurrent Logic Languages (KK, KH), pp. 684–698.
ASEKBSE-1993-MacMillanFLLS #architecture #natural language #reuse #tool support
A Common Architecture to Encourage Reuse of Natural Language/Text Processing Tools (TRM, MF, SL, EPL, LJS), p. 20.
ASEKBSE-1993-MiS #approach #named #process
Articulation: An Integrated Approach to the Diagnosis, Replanning, and Rescheduling of Software Process Failures (PM, WS), p. 14.
ASEKBSE-1993-Myloupolos #modelling #process
Modeling Processes (Keynote) (JM), p. 11.
ASEKBSE-1993-Scacchi #approach #knowledge-based #process #tutorial
A Knowledge-Based Approach To Software Process Engineering (Tutorial) (WS), p. 3.
ICDARICDAR-1993-BoccignoneCSP #documentation #object-oriented
Building an object-oriented environment for document processing (GB, AC, MDS, AP), pp. 436–439.
ICDARICDAR-1993-CullenE #documentation #image #segmentation
Weak model-dependent page segmentation and skew correction for processing document images (JFC, KE), pp. 757–760.
ICDARICDAR-1993-DimauroGIP
A system for bankchecks processing (GD, MRG, SI, GP), pp. 454–459.
ICDARICDAR-1993-DoermannR #documentation
The processing of form documents (DSD, AR), pp. 497–501.
ICDARICDAR-1993-GaoHQS
A color map processing system PU-CMPS (QG, JH, GQ, QS), pp. 874–877.
ICDARICDAR-1993-HochK #clustering #on the #recognition #scalability
On virtual partitioning of large dictionaries for contextual post-processing to improve character recognition (RH, TK), pp. 226–231.
ICDARICDAR-1993-LebourgeoisH #learning
A contextual processing for an OCR system, based on pattern learning (FL, JLH), pp. 862–865.
ICDARICDAR-1993-MarukawaKSF #recognition #using
A post-processing method for handwritten Kanji name recognition using Furigana information (KM, MK, YS, HF), pp. 218–221.
ICDARICDAR-1993-NardelliFP #image #knowledge base
Raster to object conversion aided by knowledge based image processing (EN, MF, GP), pp. 951–954.
ICDARICDAR-1993-PaikJL #automation #multi #recognition
Multiple combined recognition system for automatic processing of credit card slip applications (JP, SJ, YL), pp. 520–523.
ICDARICDAR-1993-Sakai #documentation #evolution #information management
A history and evolution of document information processing (TS), pp. 377–384.
ICDARICDAR-1993-SatohTK #collaboration #documentation #hypermedia
A collaborative supporting method between document processing and hypertext construction (SS, AT, EK), pp. 533–536.
ICDARICDAR-1993-SendaMI #documentation #image #process #recognition #retrieval #using
Document image retrieval system using character candidates generated by character recognition process (SS, MM, KI), pp. 541–546.
ICDARICDAR-1993-TanakaKT #development #process
Development of a map vectorization method involving a shape reforming process (NT, TK, JT), pp. 680–683.
ICDARICDAR-1993-WebsterN #parallel #recognition
The feasibility of a parallel processing oriented character recognition method based on a dynamic model (RGW, MN), pp. 714–717.
ICDARICDAR-1993-YuTS #approach #architecture #documentation
Document architecture language (DAL) approach to document processing (CLY, YYT, CYS), pp. 103–106.
SIGMODSIGMOD-1993-BrinkhoffKS #performance #using
Efficient Processing of Spatial Joins Using R-Trees (TB, HPK, BS), pp. 237–246.
SIGMODSIGMOD-1993-Guting #higher-order #modelling #optimisation #query #specification
Second-Order Signature: A Tool for Specifying Data Models, Query Processing, and Optimization (RHG), pp. 277–286.
SIGMODSIGMOD-1993-PolyachenkoA #development #distributed #parallel
Instrumental Complex of Parallel Software System Development and Operating Environment Support for Distributed Processing within Multitransputer Systems, TRANSSOFT (BEP, FIA), pp. 548–549.
SIGMODSIGMOD-1993-ShatdalN #memory management #parallel #using
Using Shared Virtual Memory for Parallel Join Processing (AS, JFN), pp. 119–128.
SIGMODSIGMOD-1993-Sherman #architecture #distributed #product line #transaction
Architecture of the Encina Distributed Transaction Processing Family (MS), pp. 460–463.
SIGMODSIGMOD-1993-TsengR #database #parallel
Parallel Database Processing on the KSR1 Computer (ET, DSR), pp. 453–455.
VLDBVLDB-1993-BernsteinGW #named #transaction
STDL — A Portable Language for Transaction Processing (PAB, POG, TW), pp. 218–229.
ICSMECSM-1993-Desharnais #benchmark #industrial #metric #process #validation
Validation Process for Industry Benchmarking Data (JMD), pp. 371–372.
ICSMECSM-1993-Drew #formal method #process
Developing Formal Software Process Definitions (DWD), pp. 12–20.
ICSMECSM-1993-EdwardsM #lifecycle #logic #using
Abstracting the Logical Processing Life Cycle for Entities Using the RECAST Method (HME, MM), pp. 162–171.
ICSMECSM-1993-HinleyB #risk management
Reducing the Risks in Software Improvement Through Process-Orientated Management (DSH, KHB), pp. 319–328.
ICSMECSM-1993-LoyallM #analysis #dependence #maintenance #process #using
Using Dependence Analysis to Support the Software Maintenance Process (JPL, SAM), pp. 282–291.
ICSMECSM-1993-MeloB #maintenance #process
Supporting Software Maintenance Processes in TEMPO (WLM, NB), pp. 21–30.
SASWSA-1993-GluckK #process
Occam’s Razor in Metacompuation: the Notion of a Perfect Process Tree (RG, AVK), pp. 112–123.
ICALPICALP-1993-HungarS #model checking #process
Local Model Checking for Context-Free Processes (HH, BS), pp. 593–605.
FMFME-1993-PickinYBSG #process #simulation
Process Instances in LOTOS Simulation (SP, YY, WB, SS, TdG), pp. 521–540.
FPCAFPCA-1993-KozatoO #benchmark #functional #image #lazy evaluation #metric #source code
Benchmarking Real-Life Image Processing Programs in Lazy Functional Languages (YK, GPO), pp. 18–30.
HCIHCI-ACS-1993-BollenS #automation #case study #how #process
How to Survive Process Control Automation: A Case Study of Integral User Participation (LAAB, TWvdS), pp. 121–126.
HCIHCI-ACS-1993-CakirC #documentation #evaluation #image #user interface
Image Processing of Office Documents — Ergonomic Evaluation of the User Interface of a New System (, ), pp. 797–802.
HCIHCI-ACS-1993-Davies #design #process
Articulating the Design Process: TheEffects of Verbalisation Upon Design Strategies (SPD), pp. 528–533.
HCIHCI-ACS-1993-Hayanhjelm #design #evolution #problem #process
The Ergonomic Deisgn Process — The User Involved in Problem Solving and the Design Evolution (HIJH), pp. 966–969.
HCIHCI-ACS-1993-HoonhoutZ #behaviour #industrial #process
Operator Behavior and Supervisory Control Systems in the Chemical Process Industry (HCMH, HJGZ), pp. 109–114.
HCIHCI-ACS-1993-HowerRB #constraints #interactive
Constraint Processing in Human Computer Interaction with an Emphasis on Intelligent CAD (WH, MR, RB), pp. 243–248.
HCIHCI-ACS-1993-LawtonML #complexity #design #process
Managing Complexity: Display Design in Process Control (GLL, DLM, PLL), pp. 139–144.
HCIHCI-ACS-1993-Soares
Data-Preparation Clerks in Brazilian Data Processing Centres: The Unskilled and Unhealthy New Jobs (AS), pp. 886–890.
HCIHCI-ACS-1993-StanneyS #human-computer #information management #performance
The Influence of Information Processing Strategies on Human-Computer Performance (KMS, GS), pp. 463–468.
HCIHCI-ACS-1993-Zwaga #design #process #requirements
Developing Process Control Systems: Procedural Requirements in Design (HJGZ), pp. 127–132.
HCIHCI-SHI-1993-BoczkowskiNR #hypermedia #on the #process
On the Relationships between Hypermedia and the Psychotherapeutic Process (PB, FDN, GR), pp. 476–481.
HCIHCI-SHI-1993-FurutaK #process #simulation
Computer Simulation Model of Cognitive Process in Group Works (KF, SK), pp. 979–984.
HCIHCI-SHI-1993-HabibiR #multi #process #visualisation
Visualizing Multidimensional Process Control Relationships (SKH, DSR), pp. 555–560.
HCIHCI-SHI-1993-KinoeMH #process #user interface
Integrating Analytical and Creative Processes for User Interface Re-Design (YK, HM, YH), pp. 163–168.
HCIHCI-SHI-1993-LinLC #layout #process
Intelligent Keyboard Layout Process (CCL, TZL, FSC), pp. 1070–1074.
HCIHCI-SHI-1993-PayneWC #comprehension #hypermedia #synthesis
Cognitive Processing and Hypermedia Comprehension: A Preliminary Synthesis (DGP, MJW, MSC), pp. 633–638.
CHIINTERCHI-1993-AllenBBMMNS #design #how #process #question #why
User involvement in the design process: why, when & how? (CDA, DB, VB, HHMJ, MM, JN, JMS), pp. 251–254.
CHIINTERCHI-1993-NigayC #concurrent #data fusion #design #multimodal
A design space for multimodal systems: concurrent processing and data fusion (LN, JC), pp. 172–178.
AdaTRI-Ada-1993-Klingler #case study #process
A Case Study in Process Definition (CDK), pp. 65–79.
CAiSECAiSE-1993-BrockersG #process #verification
Computer-Aided Verification of Software Process Model Properties (AB, VG), pp. 521–546.
CAiSECAiSE-1993-PuigjanerBA #constraints #design #embedded #estimation #performance #process #realtime
Estimation Process of Performance Constraints during the design of Real-Time & Embedded Systems (RP, AB, SA), pp. 629–648.
CIKMCIKM-1993-Karr #algorithm #design #modelling #process #search-based
Genetic Algorithms for Modelling, Design, and Process Control (CLK), pp. 233–238.
CIKMCIKM-1993-LimS #database #optimisation #query
Query Optimization and Processing in Federated Database Systems (EPL, JS), pp. 720–722.
CIKMCIKM-1993-RaschidCD #multi #query
Interoperable Query Processing with Multiple Heterogeneous Knowledge Servers (LR, YC, BJD), pp. 461–470.
CIKMCIKM-1993-ThomasMMD
Enchancing Knowledge Processing in Client/Server Environments (JT, BM, NMM, SD), pp. 324–334.
SEKESEKE-1993-DeBellis93a #process
The KBSA ADM Process Model (MD), p. 585.
SEKESEKE-1993-KomiyaSHKOHOO #analysis #process #specification
An Experimental Analysis for Classifying Specification Processes (SK, MS, SH, JK, AO, HH, SO, KO), pp. 231–234.
SEKESEKE-1993-KoonoFTOHB #automation #design #implementation #process
Software Creation: Implementation and Application of Design Process Knowledge in Automatic Software Design (ZK, BHF, TT, MO, KIH, TB), pp. 332–336.
SEKESEKE-1993-LiuWN #documentation #query #retrieval
An OI5ce Document Retrieval System with the Capability of Processing Incomplete and Vague Queries (QL, JTLW, PAN), pp. 11–17.
SEKESEKE-1993-MacMillanFLLS #architecture #natural language #reuse #tool support
A Common Architecture To Encourage Reuse Of Natural Language/Text Processing Tools (TRM, MLF, SJL, EPL, LJS), pp. 266–270.
SEKESEKE-1993-MochizukiYK #design #modelling #process
Two Models for Describing Software Design Process: Object-Centered Model and Phase-Centered Model (SM, AY, TK), pp. 291–295.
SEKESEKE-1993-ReynoldsM #metric #process #programming language #refinement
The Use of Refinement Metrics to Assess Programming Language Support for the Stepwise Refinement Process (RGR, JIM), pp. 150–157.
SEKESEKE-1993-SaekiIS #process #specification
Supporting Tool for Cooperative Specification Processes (MS, KI, MS), pp. 351–354.
SEKESEKE-1993-Scacchi #integration #process
Process Integration for KBSE Environments (WS), p. 587.
SEKESEKE-1993-Semmel #information management #query #representation
Knowledge Representation for Intelligent Query Processing (RDS), pp. 18–27.
SEKESEKE-1993-TimpkaNSJ #design #modelling #process
Action Design: From Modeling To Support of the Software Process (TT, JMN, CS, MJ), pp. 629–636.
SEKESEKE-1993-YonezakiKSL #named #process
TAP: A New Model for Software Process: Tasks-Agents-Products (NY, TK, MS, JL), pp. 346–350.
SEKESEKE-1993-YoshitakaHI #framework #query
A Framework for Query Processing Utilizing Knowledge (AY, MH, TI), pp. 1–10.
SEKESEKE-1993-ZhangZX #parallel
A Knowledge Processing Language and Its Parallel Inference System (CZ, QZ, JX), pp. 199–206.
SIGIRSIGIR-1993-CallanC #evaluation #query #using
An Evaluation of Query Processing Strategies Using the TIPSTER Collection (JPC, WBC), pp. 347–355.
SIGIRSIGIR-1993-Krovetz #process
Viewing Morphology as an Inference Process (RK), pp. 191–202.
TOOLSTOOLS-PACIFIC-1993-KangG #framework #object-oriented #re-engineering
An Object-Oriented Framework for Process-Sensitive Software Engineering Environments (MK, DDG), pp. 233–252.
TOOLSTOOLS-USA-1993-Patels #development #named #process
OOSEM — A Complete Development Process for O-O Software (RP), p. 572.
POPLPOPL-1993-BerryRS #communication #process
Communicating Reactive Processes (GB, SR, RKS), pp. 85–98.
REICRE-1993-HoffmannD #design #execution #process #prototype #specification #using
Using Executable Specifications for Prototyping System Design Process (CH, BD), pp. 135–145.
RERE-1993-RameshL #agile #knowledge base #process #prototype #requirements
Process knowledge based rapid prototyping for requirements engineering (BR, L), pp. 248–255.
RERE-1993-SommervilleRSBT #process #requirements
Integrating ethnography into the requirements engineering process (IS, TR, PS, RB, MT), pp. 165–173.
SACSAC-1993-Blanc #information management
Strategic Sourcing for Information Processing Functions (LALB), pp. 782–788.
SACSAC-1993-KulkarniY #bidirectional #image
Generalized Bidirectional Associative Memories for Image Processing (ADK, IY), pp. 373–379.
SACSAC-1993-Phillips #database #query
Stream Driven Query Processing in a Database (NCKP), pp. 593–597.
SACSAC-1993-Yaung #process
A Linkage Analyzer for Process Management (ATY), pp. 215–221.
ESECESEC-1993-BreitenederM #component #process #programming
Process Programming with Active and Passive Components (CB, TAM), pp. 466–482.
ESECESEC-1993-JarkePJBAHWRPSSJMTVCS #process #representation #requirements
Requirements Engineering: An Integrated View of Representation, Process, and Domain (MJ, KP, SJ, JABJ, PA, PH, BW, CR, VP, JRS, AGS, SJ, NAMM, DT, YV, PC, GS), pp. 100–114.
ESECESEC-1993-KrishnamurthyB #named #process #visualisation
Provence: A Process Visualisation and Enactment Environment (BK, NSB), pp. 451–465.
ESECESEC-1993-LiuC #automation #evolution #network #process
Automatic Replanning of Task Networks for Process Model Evolution in EPOS (CL, RC), pp. 434–450.
ESECESEC-1993-Thomas #process
Observations on Object Management Systems and Process Support in Environments (IT), pp. 197–212.
FSEFSE-1993-BalzerN #process
Mechanisms for Generic Process Support (RB, KN), pp. 21–32.
ICSEICSE-1993-ArangoSP #design #process #reuse
A Process for Consolidating and Reusing Design Knowledge (GA, ES, RP), pp. 231–242.
ICSEICSE-1993-BandinelliF #approach #modelling #process
Computational Reflection in Software Process Modeling: The SLANG Approach (SB, AF), pp. 144–154.
ICSEICSE-1993-BhandariR #comparative #evaluation #feedback
Post-Process Feedback With and Without Attribute Focusing: A Comparative Evaluation (ISB, NR), pp. 89–98.
ICSEICSE-1993-BradacPV #empirical #monitoring #process #prototype
Prototyping a Process Monitoring Experiment (MGB, DEP, LGV), pp. 155–165.
ICSEICSE-1993-KaiserPB #modelling #process
A Bi-Level Language for Software Process Modeling (GEK, SSP, IBS), pp. 132–143.
ICSEICSE-1993-KellerLMBTHE #process
A Comprehensive Process Model for Studying Software Process Papers (RKK, RL, NHM, TFWB, KT, WKH, KEE), pp. 78–88.
ICSEICSE-1993-KitsonM #analysis #assessment #process
An Analysis of SEI Software Process Assessment Results: 1987-1991 (DHK, SMM), pp. 68–77.
ICSEICSE-1993-McGowanB #modelling #process
Model Based Process Assessments (CLM, SAB), pp. 202–211.
ICSEICSE-1993-Rubin #maturity #process #quality
Software Process Maturity: Measuring Its Impact on Productivity and Quality (HAR), pp. 468–476.
ICSEICSE-1993-TamaiI #analysis #backtracking #design #development #process #requirements #scalability
Requirements and Design Change in Large-Scale Software Development: Analysis From the Viewpoint of Process Backtracking (TT, AI), pp. 167–176.
CAVCAV-1993-HennessyL #logic #message passing #process
A Modal Logic for Message passing Processes (Extended Abstract) (MH, XL), pp. 359–370.
CAVCAV-1993-Hungar #model checking #parallel #process #proving #theorem proving #verification
Combining Model Checking and Theorem Proving to Verify Parallel Processes (HH), pp. 154–165.
CAVCAV-1993-KurshanMOS #process
A Structural Linearization Principle for Processes (RPK, MM, AO, SRS), pp. 491–504.
CSLCSL-1993-BergstraBP #algebra #combinator #process
Process Algebra with Combinators (JAB, IB, AP), pp. 36–65.
ICLPICLP-1993-Futo #communication #process #prolog
Prolog with Communicating Processes: From T-Prolog to CSR-Prolog (IF), pp. 3–17.
ICLPILPS-1993-BerryE #generative #query #recursion
Generating Goals and Their Use In Recursive Query Processing (VB, ), p. 649.
ICLPILPS-1993-Sidebottom #constraints #optimisation
Optimizing Constraint Processing in CLP(FD) (GS), p. 660.
DACDAC-1992-Boyer #constraints #graph #independence #process
Process Independent Constraint Graph Compaction (DGB), pp. 318–322.
DACDAC-1992-GeurtsCM #throughput
Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing (WG, FC, HDM), pp. 124–127.
DACDAC-1992-JacomeD #design #framework #process
Design Process Management for CAD Frameworks (MFJ, SWD), pp. 500–505.
ASEKBSE-1992-MiLS #development #knowledge-based #library #process
A Knowledge-based Software Process Library for Process-driven Software Development (PM, MJL, WS), p. 20.
ASEKBSE-1992-Terwilliger #design #process #simulation
Simulating the Gries/Dijkstra Design Process (RBT), p. 22.
HTHT-ECHT-1992-Rouet #question
Cognitive Processing of Hyperdocuments: When Does Nonlinearity Help? (JFR), pp. 131–140.
PODSPODS-1992-Greiner #learning #performance #query
Learning Efficient Query Processing Strategies (RG), pp. 33–46.
SIGMODSIGMOD-1992-Gray #benchmark #database #metric #transaction
Database and Transaction Processing Benchmarks (JG), p. 6.
SIGMODSIGMOD-1992-OrensteinHMS #database #query
Query Processing in the ObjectStore Database System (JAO, SH, BM, DS), pp. 403–412.
SIGMODSIGMOD-1992-PolyzoisG #algorithm #evaluation #transaction
Evaluation of Remote Backup Algorithms for Transaction Processing Systems (CAP, HGM), pp. 246–255.
SIGMODSIGMOD-1992-Rahm #architecture #evaluation #performance #transaction
Performance Evaluation of Extended Storage Architectures for Transaction Processing (ER), pp. 308–317.
SIGMODSIGMOD-1992-SrinivasanC #online #query
Compensation-Based On-Line Query Processing (VS, MJC), pp. 331–340.
VLDBVLDB-1992-DayalW #approach #query
A Uniform Approach to Processing Temporal Queries (UD, GTJW), pp. 407–418.
VLDBVLDB-1992-Heimbigner #case study #experience
Experiences With an Object Manager for a Process-Centered Environment (DH), pp. 585–595.
VLDBVLDB-1992-LeungM #database #multi #optimisation #query
Temporal Query Processing and Optimization in Multiprocessor Database Machines (TYCL, RRM), pp. 383–394.
CSEETSEI-1992-Brown #education #process
Continuing Education and Training for Software Process Improvement (AWB), pp. 301–307.
CSEETSEI-1992-HoffmanS #education #on the #process
On Teaching the Rational Desighn Process (DH, TS), pp. 44–62.
CSEETSEI-1992-Pewle #approach #formal method #process
Software Process Training: A Formal and Informal Approach at McDonnell Douglas Electronic Systems Company (KLP), pp. 308–312.
ICALPICALP-1992-CleavelandSZ #probability #process #testing
Testing Preorders for Probabilistic Processes (RC, SAS, AEZ), pp. 708–719.
CHICHI-1992-CeccoLP #prototype
The Ircam Signal Processing Workstation Prototyping Environment (MDC, EL, MP), pp. 639–640.
CHICHI-1992-KimL #composition #design #functional #logic #object-oriented #process #towards
Towards a model of cognitive process in logical design: comparing object-oriented and traditional functional decomposition software methodologies (JK, FJL), pp. 489–498.
CHICHI-1992-RussellXW #design #flexibility #human-computer #interface #process
Action Assignable Graphics: A Flexible Human-Computer Interface Design Process (MDR, HX, LW), pp. 71–72.
CSCWCSCW-1992-Sanderson #case study #implementation #process
The CSCW Implementation Process: An Interpretative Model and Case Study of the Implementation of a Videoconference System (DS), pp. 370–377.
AdaTRI-Ada-C-1992-ArbaughG #development #experience #process
A Modern Development Process: Experience Gained from Topaz Project (RA, MG), pp. 240–248.
AdaTRI-Ada-C-1992-HartDDEKKOO #concept #process #summary
STARS Process Concepts Summary (HH, JD, DD, WHE, JK, HK, LO, JO), pp. 570–594.
AdaTRI-Ada-T2-1992-MogilenskyM #assessment #implementation #process
After the Assessment: Preparing and Implementing a Process Improvement Action Plan (JM, MM), pp. 590–624.
CAiSECAiSE-1992-BudgenF #design #process
Augmenting the Design Process Transformations from Abstract Design Representations (DB, GF), pp. 378–393.
CAiSECAiSE-1992-IpH #diagrams #information management #knowledge base #lifecycle #modelling #process
A Knowledge Based Technique for the Process Modelling of Information Systems: The Object Life Cycle Diagram (SI, TH), pp. 164–186.
CAiSECAiSE-1992-OquendoZG #automation
A Meta-CASE Environment for Software Process-Centred CASE Environments (FO, JDZ, PG), pp. 568–588.
KRKR-1992-Davis92a #axiom #process
Axiomatizing Qualitative Process Theory (ED), pp. 177–188.
SEKESEKE-1992-AkhrasM #generative #knowledge-based #process #towards
Towards Dynamic Generation of Knowledge-Based Environments for Software Process Assistance (FNA, SSSM), pp. 79–86.
SEKESEKE-1992-ArmeniseBGM #assessment #bibliography #process #representation
Software Processes Representation Languages: Survey and Assessment (PA, SB, CG, AM), pp. 455–462.
SEKESEKE-1992-HaoTJ #consistency #modelling #nondeterminism #process #prototype
Prototyping an Inconsistency Checking Tool for Software Process Models (JKH, FT, JJ), pp. 227–234.
SEKESEKE-1992-HazeyamaK #process
A Process Model for Software Process Management (AH, SK), pp. 582–589.
SEKESEKE-1992-JaccheriLC #evolution #modelling #process
Software Process Modeling and Evolution in EPOS (MLJ, JOL, RC), pp. 574–581.
SEKESEKE-1992-Rauterberg #process
An Iterative-Cyclic Software Process Model (MR), pp. 600–607.
SIGIRSIGIR-1992-BlossevilleHMP #analysis #automation #classification #documentation #natural language #statistics
Automatic Document Classification: Natural Language Processing, Statistical Analysis, and Expert System Techniques used together (MJB, GH, MGM, NP), pp. 51–58.
SIGIRSIGIR-1992-Sonnenwald #design #information management #information retrieval #process
Developing a Theory to Guide the Process of Designing Information Retrieval Systems (DHS), pp. 310–317.
SIGIRSIGIR-1992-Tague-Sutcliffe #process #retrieval
Measuring the Informativeness of a Retrieval Process (JTS), pp. 23–36.
ECOOPECOOP-1992-McCue #object-oriented #transaction
Developing a Class Hierarchy for Object-Oriented Transaction Processing (DLM), pp. 413–426.
OOPSLAOOPSLA-1992-ChampeauxLF #design #object-oriented #process
The Process of Object-Oriented Design (DdC, DL, PF), pp. 45–62.
TOOLSTOOLS-PACIFIC-1992-KotakaKH #analysis #modelling #object-oriented #process #specification
Specification Process Modelling in Object-Oriented Analysis (NK, YK, SH), pp. 67–81.
ICSEICSE-1992-GargB #process #programming
Process Programming by Hindsight (PKG, SB), pp. 280–293.
ICSEICSE-1992-PeuschelS #concept #implementation #process #rule-based
Concepts and Implementation of a Rule-Based Process Engine (BP, WS), pp. 262–279.
HPDCHPDC-1992-BilgicS #performance
High Performance PDU Processing for Application Layer (MB, BS), pp. 161–170.
CAVCAV-1992-Cerans #bisimulation #decidability #parallel #process
Decidability of Bisimulation Equivalences for Parallel Timer Processes (KC), pp. 302–315.
CAVCAV-1992-FischerST #algebra #case study #distributed #process #verification
Verification in Process Algebra of the Distributed Control of Track Vehicles — A Case Study (SF, AS, DT), pp. 192–205.
ICLPJICSLP-1992-BoerP #algebra #concurrent #constraints #process #programming
A Process Algebra of Concurrent Constraint Programming (FSdB, CP), pp. 463–477.
LICSLICS-1992-BoerKP #algebra #communication #process
Asynchronous Communication in Process Algebra (FSdB, JWK, CP), pp. 137–147.
LICSLICS-1992-Ulidowski #process
Equivalences on Observable Processes (IU), pp. 148–159.
DACDAC-1991-OchiIY
Breadth-First Manipulation of SBDD of Boolean Functions for Vector Processing (HO, NI, SY), pp. 413–416.
DACDAC-1991-SastryM #analysis #branch #process
A Branching Process Model for Observability Analysis of Combinational Circuits (SS, AM), pp. 452–457.
DACDAC-1991-WalkerKS #database #editing #process #representation #statistics
A Semiconductor Wafer Representation Database and Its Use in the PREDITOR Process Editor and Statistical Simulator (DMHW, CSK, AJS), pp. 579–584.
DACDAC-1991-WuYYL #design #multi #named #optimisation #process
GOALSERVER: A Multiobjective Design Optimization Tool for IC Fabrication Process (LW, ZY, ZY, ZL), pp. 585–590.
ASEKBSE-1991-RameshD #development #maintenance #process #representation #scalability
Representation and Maintenance of Process Knowledge for Large Scale Systems Development (BR, VD), pp. 223–231.
VLDBVLDB-1991-ArefS #optimisation #query
Optimization for Spatial Query Processing (WGA, HS), pp. 81–90.
VLDBVLDB-1991-HeissW #adaptation #transaction
Adaptive Load Control in Transaction Processing Systems (HUH, RW), pp. 47–54.
CSEETSEI-1991-BudgenR #education #process #re-engineering
Teaching about Process Issues in Software Engineering (DB, CR), pp. 167–180.
ICALPICALP-1991-AcetoH #algebra #finite #process #refinement
Adding Action Refinement to a Finite Process Algebra (LA, MH), pp. 506–519.
FMVDME-1991-1-Milner #concurrent #process
Concurrent Processes as Objects (Abstract) (RM), p. 9.
AdaEuropeAdaEurope-1991-GilbertTB #ada #embedded #experience #process #realtime #using
Experience Developing two Ada Applications for Embedded Real-Time Systems Using Different Software Processes (BG, MT, GB), pp. 394–403.
AdaEuropeAdaEurope-1991-GutzmannRR #development #network #process #testing
Software Project Activity Network for Managing the Development and Testing Process (KMG, DLR, JLR), pp. 168–192.
AdaEuropeAdaEurope-1991-LarreAGG #modelling #process
Introducing HOOD into Software Process Modelling Based Environments (JRL, NA, JJG, JG), pp. 212–226.
CAiSECAiSE-1991-KrogstieMOS #development #information management #process #rule-based #using
Information Systems Development Using a Combination of Process and Rule Based Approaches (JK, PM, RO, AHS), pp. 319–335.
CAiSECAiSE-1991-Liu #execution #integration #process
Software Process Planning and Execution: Coupling vs. Integration (CL), pp. 356–374.
CAiSECAiSE-1991-OrlowskaJ #algebra #relational
A Funtional Method of Data Processing based on Relational Algebra (MEO, KGJ), pp. 98–123.
SIGIRSIGIR-1991-Rabitti #image #multi #query
Image Query Processing Based on Multi-Level Signatures (FR, PS), pp. 305–314.
PPDPPLILP-1991-BrogiG #constraints #logic #process
Constraints for Synchronizing Logic Coarse-grained Sequential Logic Processes (AB, MG), pp. 407–418.
POPLPOPL-1991-ConselD #semantics
Static and Dynamic Semantics Processing (CC, OD), pp. 14–24.
ESECESEC-1991-HonidenUI #design #performance #process #prototype #realtime
An Application of Artifical Intelligence to Prototyping Process in Performance Design for Real-time Systems (SH, NU, KI), pp. 189–209.
ESECESEC-1991-Hruschka #automation #process
CASE Support for the Software Process (PH), pp. 497–498.
ESECESEC-1991-Kramer #automation #process #research
CASE Support for the Software Process: A Research Viewpoint (JK), pp. 499–503.
ESECESEC-1991-Lang #automation #problem #process
CASE Support for the Software Process: Advances and Problems (BL), pp. 512–515.
ICSEICSE-1991-Bush #process
Process Assessments in NASA (MWB), pp. 299–304.
ICSEICSE-1991-HumphreyKG #comparison #maturity #process
A Comparison of U.S. and Japanese Software Process Maturity (WSH, DHK, JG), pp. 38–49.
ICSEICSE-1991-Katayama #named #process
ISPW6: Support for the Software Process (TK), pp. 258–262.
ICSEICSE-1991-Litke #implementation #process #towards
Toward new Techniques to Assess the Software Implementation Process (JDL), pp. 74–82.
ASPLOSASPLOS-1991-FreudenthalG #coordination #process
Process Coordination with Fetch-and-Increment (EF, AG), pp. 260–268.
PPoPPPPoPP-1991-BaconS #communication #csp #parallel #process
Optimistic Parallelization of Communicating Sequential Processes (DFB, RES), pp. 155–166.
CAVCAV-1991-Brinksma #data type #process
From Data Structure to Process Structure (EB), pp. 244–254.
CAVCAV-1991-ChristoffC #algorithm #performance #probability #process #verification
Efficient Algorithms for Verification of Equivalences for Probabilistic Processes (LC, IC), pp. 310–321.
CAVCAV-1991-CourcoubetisGS #algebra #process
An Algebra of Boolean Processes (CC, SG, JS), pp. 454–465.
CAVCAV-1991-FrancescoI #process #semantics
A Semantic Driven Method to Check the Finiteness of CCS Processes (NDF, PI), pp. 266–276.
CAVCAV-1991-HolmerLY #process #realtime
Deciding Properties of Regular Real Time Processes (UH, KGL, WY), pp. 443–453.
CAVCAV-1991-Huttel #branch #decidability #process #similarity
Silence is Golden: Branching Bisimilarity is Decidable for Context-Free Processes (HH), pp. 2–12.
CAVCAV-1991-Jeffrey #algebra #linear #process
A Linear Time Process Algebra (AJ), pp. 432–442.
CAVCAV-1991-Lin #algebra #named #process
PAM: A Process Algebra Manipulator (HL), pp. 136–146.
CAVCAV-1991-Nesi #higher-order #induction #logic #process #proving #specification
Mechanizing a Proof by Induction of Process Algebrs Specifications in Higher Order Logic (MN), pp. 288–298.
CAVCAV-1991-NicollinS #algebra #bibliography #process #synthesis
An Overview and Synthesis on Timed Process Algebras (XN, JS), pp. 376–398.
CSLCSL-1991-Pinchinat #comparative #concurrent #process #semantics
Ordinal Processes in Comparative Concurrency Semantics (SP), pp. 293–305.
ICLPICLP-1991-Saint-Dizier #logic programming
Logic Programming for Language Processing (PSD), p. 947.
ICLPISLP-1991-Furukawa #generative #information management #scalability #towards
Fifth Generation Computer Project: Towards Large-Scale Knowledge Information Processing (KF), pp. 719–731.
LICSLICS-1991-Boer #composition #process #proving
A Compositional Proof System for Dynamic Process Creation (FSdB), pp. 399–405.
LICSLICS-1991-HuttelS #process #proving #similarity #word
Actions Speak Louder than Words: Proving Bisimilarity for Context-Free Processes (HH, CS), pp. 376–386.
LICSLICS-1991-JonssonL #probability #process #refinement #specification
Specification and Refinement of Probabilistic Processes (BJ, KGL), pp. 266–277.
LICSLICS-1991-Vaandrager #algebra #automaton #on the #process
On the Relationship Between Process Algebra and Input/Output Automata (FWV), pp. 387–398.
ISSTATAV-1991-YehY #algebra #analysis #composition #process #reachability #using
Compositional Reachability Analysis Using Process Algebra (WJY, MY), pp. 49–59.
DACDAC-1990-FeghhiMK #design #kernel #object-oriented #process
An Object-Oriented Kernel for an Integrated Design and Process Planning System (SJF, MMM, RLK), pp. 437–443.
HTECHT-1990-Rouet #interactive
Interactive Text Processing by Inexperienced (Hyper-) Readers (JFR), pp. 250–260.
PODSPODS-1990-ArefS #data type #performance #query
Efficient Processing of Window Queries in The Pyramid Data Structure (WGA, HS), pp. 265–272.
PODSPODS-1990-Chomicki #database #deduction #polynomial #query
Polynomial Time Query Processing in Temporal Deductive Databases (JC), pp. 379–391.
PODSPODS-1990-Willard #algorithm #calculus #relational
Quasilinear Algorithms for Processing Relational Calculus Expressions (DEW), pp. 243–257.
SIGMODSIGMOD-1990-GangulyST #datalog #framework #parallel #query
A Framework for the Parallel Processing of Datalog Queries (SG, AS, ST), pp. 143–152.
SIGMODSIGMOD-1990-Graefe #encapsulation #parallel #query
Encapsulation of Parallelism in the Volcano Query Processing System (GG), pp. 102–111.
SIGMODSIGMOD-1990-Orenstein #comparison #parametricity #query
A Comparison of Spatial Query Processing Techniques for Native and Parameter Spaces (JAO), pp. 343–352.
SIGMODSIGMOD-1990-WolfsonO #distributed #paradigm #parallel
A New Paradigm for Parallel and Distributed Rule-Processing (OW, AO), pp. 133–142.
VLDBVLDB-1990-HaradaNKT #clustering #multi #query
Query Processing for Multi-Attribute Clustered Records (LH, MN, MK, MT), pp. 59–70.
VLDBVLDB-1990-KemperM #data access #query #using
Advanced Query Processing in Object Bases Using Access Support Relations (AK, GM), pp. 290–301.
VLDBVLDB-1990-Mohan90a #commit #named #novel #transaction
Commit_LSN: A Novel and Simple Method for Reducing Locking and Latching in Transaction Processing Systems (CM), pp. 406–418.
VLDBVLDB-1990-SchneiderD #database #multi #query #trade-off
Tradeoffs in Processing Complex Join Queries via Hashing in Multiprocessor Database Machines (DAS, DJD), pp. 469–480.
VLDBVLDB-1990-WangS #distance #metric #query
Query Processing for Distance Metrics (JTLW, DS), pp. 602–613.
CSEETSEI-1990-Smith #design #development #implementation #process
Technology Transfer: The Design, Development, and Implementation of a Process (RLS), pp. 13–23.
ICALPICALP-1990-CourcoubetisY #markov #process
Markov Decision Processes and Regular Events (Extended Abstract) (CC, MY), pp. 336–349.
ICALPICALP-1990-HennessyI #communication #formal method #process
A Theory of Communicating Processes with Value-Passing (MH, AI), pp. 209–219.
ICALPICALP-1990-JagadeesanP #calculus #formal method #higher-order #process
A Domain-Theoretic Model for a Higher-Order Process Calculus (RJ, PP), pp. 181–194.
ICALPICALP-1990-Milner #process
Functions as Processes (RM), pp. 167–180.
ICALPICALP-1990-Moller #algebra #process
The Importance of the Left Merge Operator in Process Algebras (FM), pp. 752–764.
FMVDME-1990-BlikleT #development #on the #process #syntax
On Conservative Extensions of Syntax in the Process of System Development (AB, MT), pp. 504–525.
FMVDME-1990-Zwiers #process
Refining Data to Processes (JZ), pp. 352–369.
CHICHI-1990-GeorgeVN #analysis #implementation #process
The organizational implementation of an electronic meeting system: an analysis of the innovation process (JFG, JSV, JFNJ), pp. 361–368.
CHICHI-1990-SchiphorstCLWG #composition #interactive #process #tool support
Tools for interaction with the creative process of composition (TS, TC, CL, CW, SG), pp. 167–174.
CSCWCSCW-1990-LosadaSN #collaboration #feedback #interactive #process #sequence
Collaborative Technology and Group Process Feedback: Their Impact on Interactive Sequences in Meetings (ML, PS, EEN), pp. 53–64.
CAiSECAiSE-1990-ConradiLOWAJL #modelling #process
Software Process Modelling in EPOS (RC, AL, EO, PHW, VA, MLJ, CL), pp. 109–133.
CAiSECAiSE-1990-WijersH #automation #modelling #process
Automated Support of Modelling Process: A View Based on Experiments with Expert Information Engineers (GMW, HH), pp. 88–108.
SEKESEKE-1990-HsuehL #knowledge-based #programming
Knowledge-Based Programming for Call Processing Program in Telecommunication Switching System (JCCH, DTL), pp. 110–115.
SEKESEKE-1990-LukZH #approach #database #named #relational
Path: An Approach to Incorporate List Processing in A Relational Database (WSL, WZ, JH), pp. 189–194.
SEKESEKE-1990-Mazurov #learning #parallel #process
Parallel Processes of Decision Making and Multivalued Interpretation of Contradictory Data by Learning Neuron Machines (VDM), p. 165.
SEKESEKE-1990-SheuVH #execution #process
Integrated Process Description and Execution in Manufacturing Environments (PCYS, RV, SSH), pp. 25–32.
SIGIRSIGIR-1990-ChenD #information retrieval #interactive #online #process #query #refinement
Online Query Refinement on Information Retrieval Systems: A Process Model of Searcher/System Interactions (HC, VD), pp. 115–133.
OOPSLAOOPSLA-ECOOP-1990-AndreoliP #concurrent #exclamation #process
LO and Behold! Concurrent Structured Processes (JMA, RP), pp. 44–56.
PPDPALP-1990-Bellegarde #category theory #formal method #process
A Matching Process Modulo a Theory of Categorical Products (FB), pp. 270–282.
PPDPALP-1990-Han #graph #query #recursion
Recursive Query Processing in Predicate-Goal Graph (JLH), pp. 247–261.
POPLPOPL-1990-Moschovakis #process
Computable processes (YNM), pp. 72–80.
ICSEICSE-1990-EhrlichSW #modelling #process #quality #reliability #testing
Application of Software Reliability Modeling to Product Quality and Test Process (WKE, JPS, JRW), pp. 108–116.
ICSEICSE-1990-Glynn #process
Semi Formal Process Model for Technology Transfer (GG), pp. 334–335.
ICSEICSE-1990-MadhavjiGDS
Prism = Methodology + Process-oriented Environment (NHM, VG, WD, WS), pp. 277–288.
ICSEICSE-1990-NakagawaF #algebra #process
Software Process à la Algebra: OBJ for OBJ (ATN, KF), pp. 12–23.
ICSEICSE-1990-RoseJ #process
A Decision-Based Configuration Process Model (TR, MJ), pp. 316–325.
ICSEICSE-1990-Royce #ada #development #incremental #process #scalability
TRW’s Ada Process Model for Incremental Development of Large Software Systems (WR), pp. 2–11.
PPoPPPPoPP-1990-Factor #architecture #monitoring #process #realtime
The Process Trellis Architectur for Real-Time Monitors (MF), pp. 147–155.
CAVCAV-1990-MadelaineV #algebra #automaton #process
Finiteness Conditions and Structural Construction of Automata for All Process Algebras (EM, DV), pp. 353–363.
CAVCAV-1990-Wong-ToiD #process #specification
Synthesizing Processes and Schedulers from Temporal Specifications (HWT, DLD), pp. 272–281.
ICLPCLP-1990-AndreoliP90 #inheritance #linear #logic #process
Linear Objects in a Logic Processes with Built-in Inheritance (JMA, RP), pp. 495–510.
LICSLICS-1990-GehlotG #process
Normal Process Representatives (VG, CAG), pp. 200–207.
LICSLICS-1990-GlabbeekSST #generative #modelling #probability #process
Reactive, Generative, and Stratified Models of Probabilistic Processes (RJvG, SAS, BS, CMNT), pp. 130–141.
HTHT-1989-NeuwirthK #design #process #tool support
The Role of External Representations in the Writing Process: Implications for the Design of Hypertext-Based Writing Tools (CN, DK), pp. 319–341.
PODSPODS-1989-ImielinskiV #complexity #database #query
Complexity of Query Processing in Databases with OR-Objects (TI, KVV), pp. 51–65.
SIGMODSIGMOD-1989-Bry #evaluation #performance #quantifier #query #revisited #towards
Towards an Efficient Evaluation of General Queries: Quantifier and Disjunction Processing Revisited (FB), pp. 193–204.
SIGMODSIGMOD-1989-Dong #database #datalog #distributed #on the #query
On Distributed Processibility of Datalog Queries by Decomposing Databases (GD), pp. 26–35.
SIGMODSIGMOD-1989-HaasFLP #query
Extensible Query Processing in Starburst (LMH, JCF, GML, HP), pp. 377–388.
SIGMODSIGMOD-1989-HouOT #constraints #query #relational
Processing Aggregate Relational Queries with Hard Time Constraints (WCH, , BKT), pp. 68–77.
VLDBVLDB-1989-Hulin #architecture #distributed #parallel #query #recursion
Parallel Processing of Recursive Queries in Distributed Architectures (GH), pp. 87–96.
VLDBVLDB-1989-Ioannidis #commutative #linear #recursion
Commutativity and its Role in the Processing of Linear Recursion (YEI), pp. 155–163.
CSEETSEI-1989-ReedH #process
The Rockwell Software Process Training Program (HLR, SH), pp. 45–69.
PLDIPLDI-1989-RogersP #composition #locality #process
Process Decomposition Through Locality of Reference (AR, KP), pp. 69–80.
PLDIPLDI-1989-WilsonM #process
Demonic Memories for Process Histories (PRW, TGM), pp. 330–343.
FPCAFPCA-1989-Arya #animation #functional #process
Processes in a Functional Animation System (KA), pp. 382–395.
FPCAFPCA-1989-WeissSS #architecture #array #data-driven
Architectural Improvements for Data-Driven VLSI Processing Arrays (SW, IYS, GMS), pp. 243–259.
CAiSECAiSE-1989-Medri #development #information management #knowledge base #process
The Role of Knowledge Based Systems to Enhance User Participation in the System Development Process (GMM).
KRKR-1989-RandellC #modelling #physics #process
Modelling Topological and Metrical Properties in Physical Processes (DAR, AGC), pp. 357–368.
ICMLML-1989-FisherMMST #learning
Processing Issues in Comparisons of Symbolic and Connectionist Learning Systems (DHF, KBM, RJM, JWS, GGT), pp. 169–173.
SEKESEKE-1989-WeideD #concept #process #re-engineering
Conceptualizing the Software Engineering Process (BWW, SD), p. 172–?.
SIGIRSIGIR-1989-Amsler #development #knowledge base #natural language #research #towards
Research Toward the Development of a Lexical Knowledge Base for Natural Language Processing (RAA), pp. 242–249.
SIGIRSIGIR-1989-Waltz #information retrieval #parallel
Parallel Processing and Information Retrieval (DLW), p. 1.
POPLPOPL-1989-AttieE #concurrent #process #synthesis
Synthesis of Concurrent Systems with Many Similar Sequential Processes (PCA, EAE), pp. 191–201.
ESECESEC-1989-Armenise #development #framework #process
Software Process Machines: A Framework for Future Software Development Environments (PA), pp. 118–139.
ESECESEC-1989-DeitersGS #development #formal method #modelling #process
Systematic Development of Formal Software Process Models (WD, VG, WS), pp. 100–117.
ICSEICSE-1989-BernardYL #approach #configuration management
A Process-Oriented Approach to Configuration Management (YB, PL), pp. 320–330.
ICSEICSE-1989-Boehm #generative #process #what
What We Really Need Are Process Model Generators (BWB), p. 397.
ICSEICSE-1989-Curtis #behaviour #development #modelling #problem #process
Three Problems Overcome with Behavioral Models of the Software Development Process (BC), pp. 398–399.
ICSEICSE-1989-Hansen #modelling #process #re-engineering
Modeling the Software Engineering Process (GAH), p. 396.
ICSEICSE-1989-HumphreyK #modelling #process
Software Process Modeling: Principles of Entity Process Models (WSH, MIK), pp. 331–342.
ICSEICSE-1989-InoueOKT #adaptation #process
A Formal Adaptation Method for Process Descriptions (KI, TO, TK, KT), pp. 145–153.
ICSEICSE-1989-Katayama #functional #process
A Hierarchical and Functional Software Process Description and Its Enaction (TK), pp. 343–352.
ICSEICSE-1989-Kellner #experience #modelling #process
Software Process Modeling Experience (MIK), pp. 400–401.
ICSEICSE-1989-NakagawaF #algebra #approach #composition #process #refinement
Stepwise Refinement Process with Modularity: An Algebraic Approach (ATN, KF), pp. 166–177.
ICSEICSE-1989-SaekiHE #development #natural language #process #specification
Software Development Process from Natural Language Specification (MS, HH, HE), pp. 64–73.
SOSPSOSP-1989-TuckerG #multi #process #scheduling
Process Control and Scheduling Issues for Multiprogrammed Shared-Memory Multiprocessors (AT, AG), pp. 159–166.
LICSLICS-1989-AcetoH #algebra #process #towards
Towards Action-Refinement in Process Algebras (LA, MH), pp. 138–145.
LICSLICS-1989-DeganoMM #axiom #process
Axiomatizing Net Computations and Processes (PD, JM, UM), pp. 175–185.
ICLPNACLP-1989-HanL #linear #multi #recursion
Processing Multiple Linear Recursions (JH, LL), pp. 816–830.
ICLPNACLP-1989-RamkumarK #execution #multi #process
Compiled Execution of the Reduce-OR Process Model on Multiprocessors (BR, LVK), pp. 313–331.
ISSTATAV-1989-RichardsonAO #process #programming #testing
Integrating Testing Techniques Through Process Programming (DJR, SLA, LJO), pp. 219–228.
DACDAC-1988-Composano #compilation #design #process
Design Process Model in the Yorktown Silicon Compiler (RC), pp. 489–494.
DACDAC-1988-NarendranS #image #verification
Formal Verification of the Sobel Image Processing Chip (PN, JS), pp. 211–217.
SIGMODSIGMOD-1988-AlexanderC #data flow #data-driven #distributed #process
Process And Dataflow Control In Distributed Data-Intensive Systems (WA, GPC), pp. 90–98.
SIGMODSIGMOD-1988-Hanson #analysis #database #performance #query
Processing Queries Against Database Procedures: A Performance Analysis (ENH), pp. 295–302.
SIGMODSIGMOD-1988-RamnarayanL #knowledge base #query
A Data/Knowledge Base Management Testbed and Experimental Results on Data/Knowledge Base Query and Update Processing (RR, HL), pp. 387–395.
SIGMODSIGMOD-1988-WolfsonS #distributed #logic programming #source code
Distributed Processing of Logic Programs (OW, AS), pp. 329–336.
VLDBVLDB-1988-Bhide #analysis #architecture #transaction
An Analysis of Three Transaction Processing Architectures (AB), pp. 339–350.
PLDIPLDI-1988-Stone #case study #concurrent #debugging #process
Debugging Concurrent Processes: A Case Study (JMS), pp. 145–153.
SIGIRSIGIR-1988-Case #comprehension #how #retrieval #scalability
How Do the Experts Do It? The Use of Ethnographic Methods as an Aid to Understanding the Cognitive Processing and Retrieval of Large Bodies of Text (DOC), pp. 127–133.
SIGIRSIGIR-1988-Simpson #network #query #retrieval
Query Processing in a Heterogeneous Retrieval Network (PS), pp. 359–370.
SIGIRSIGIR-1988-SmeatonR #documentation #empirical #query #retrieval
Experiment on Incorporation Syntactic Processing of User Queries into a Document Retrieval Strategy (AFS, CJvR), pp. 31–51.
OOPSLAOOPSLA-1988-RussoJC #exception #multi #operating system #process
Process Management and Exception Handling in Multiprocessor Operating Systems (VFR, GJ, RHC), pp. 248–258.
ICSEICSE-1988-Mano #generative #modelling #reuse #source code
Modeling of Data-Processing Software for Generating and Reusing Their Programs (NM), pp. 231–240.
ICSEICSE-1988-Williams #approach #behaviour #modelling #process
Software Process Modeling: A Behavioral Approach (LGW), pp. 174–186.
CCCCHSC-1988-Fritzson #incremental
Incremental Symbol Processing (PF), pp. 11–38.
CADECADE-1988-Subrahmanian #logic programming #query
Query Processing in Quantitative Logic Programming (VSS), pp. 81–100.
ICLPJICSCP-1988-Abdallah88 #heuristic #logic #process
Heuristic Logic and the Process of Discovery (MANA), pp. 859–875.
ICLPJICSCP-1988-HirschmanHS88 #case study #natural language
OR-Parallel Speed-Up in Natural Language Processing: A Case Study (LH, WCH, RCS), pp. 263–279.
ICLPJICSCP-1988-KondohC88 #metaprogramming #prolog
Macro Processing in Prolog (SiK, TC), pp. 466–480.
LICSLICS-1988-CleavelandH #algebra #process
Priorities in Process Algebras (RC, MH), pp. 193–202.
LICSLICS-1988-LarsenT #logic #process
A Modal Process Logic (KGL, BT), pp. 203–210.
SIGMODSIGMOD-1987-DanielsST #distributed #transaction
Distributed Logging for Transaction Processing (DSD, AZS, DST), pp. 82–96.
SIGMODSIGMOD-1987-HanH #database #query #recursion
Handling Redundancy in the Processing of Recursive Database Queries (JH, LJH), pp. 73–81.
VLDBVLDB-1987-Dayal #approach #quantifier #query
Of Nests and Trees: A Unified Approach to Processing Queries That Contain Nested Subqueries, Aggregates, and Quantifiers (UD), pp. 197–208.
STOCSTOC-1987-LichtensteinLS #process #random
Imperfect Random Sources and Discrete Controlled Processes (DL, NL, MES), pp. 169–177.
ICALPICALP-1987-BaetenG #abstraction #algebra #process
Another Look at Abstraction in Process Algebra (Extended Abstract) (JCMB, RJvG), pp. 84–94.
ICALPICALP-1987-Diekert #concurrent #on the #process
On the Knuth-Bendix Completion for Concurrent Processes (VD), pp. 42–53.
ICALPICALP-1987-GerthB #communication #process
A Timed Failures Model for Extended Communicating Processes (RG, AB), pp. 95–114.
ICALPICALP-1987-GrafS #process #semantics
Readiness Semantics for Regular Processes with Silent Actions (SG, JS), pp. 115–125.
HCIHCI-CE-1987-AnzaiMIH #problem
A Serial-Parallel Integrated Information-Processing Model for Complex Human Problem Solving (YA, HM, MI, YH), pp. 175–182.
HCIHCI-CE-1987-Raskin #human-computer #interactive
The Role of Nature Language Processing in Human-Computer Interaction (VR), pp. 121–128.
HCIHCI-SES-1987-Bradley #industrial #using
Changing Roles in an Electronic Industry: Engineers Using CAD System and Secretaries Using work-Processing System (GB), pp. 295–302.
HCIHCI-SES-1987-HwangH #information management #modelling
The Modelling of Information Processing on Chinese VDT (SLH, CCH), pp. 123–128.
SIGIRSIGIR-1987-ChouekaFKS #query
Improved Techniques for Processing Queries in Full-Text Systems (YC, ASF, STK, ES), pp. 306–315.
SIGIRSIGIR-1987-CroftL #approach #documentation #natural language #retrieval
An Approach to Natural Language Processing for Document Retrieval (WBC, DDL), pp. 26–32.
POPLPOPL-1987-Stark #concurrent #network #process #semantics
Concurrent Transition System Semantics of Process Networks (EWS), pp. 199–210.
ESECESEC-1987-DuboisLS #formal method #process #specification
Formalising Reconstructuring Operators in a Specification Process (ED, NL, JS), pp. 161–171.
ICSEICSE-1987-BasiliR #process
Tailoring the Software Process to Project Goals and Environments (VRB, HDR), pp. 345–359.
ICSEICSE-1987-Boehm #lessons learnt #process
Software Process Management: Lessons Learned from History (BWB), pp. 296–298.
ICSEICSE-1987-CurtisKSI #modelling #on the #process
On Building Software Process Models Under the Lamppost (BC, HK, VYS, NI), pp. 96–105.
ICSEICSE-1987-Ladkin #concurrent #dependence #process #specification #synthesis
Specification of Time Dependencies and Synthesis of Concurrent Processes (PBL), pp. 106–115.
ICSEICSE-1987-Lehman #modelling #process #programming #source code
Process Models, Process Programs, Programming Support (MML), pp. 14–16.
ICSEICSE-1987-Osterweil #process
Software Processes Are Software Too (LJO), pp. 2–13.
ICSEICSE-1987-Riddle #process
Improving the Software Process (WER), p. 344.
SOSPSOSP-1987-Zayas #migration #process
Attacking the Process Migration Bottleneck (ERZ), pp. 13–24.
ICLPICLP-1987-Kale87 #evaluation #logic programming #parallel #process #source code
The REDUCE-OR Process Model for Parallel Evaluation of Logic Programs (LVK), pp. 616–632.
LICSLICS-1987-SistlaG #process #reasoning
Reasoning with Many Processes (APS, SMG), pp. 138–152.
RTARTA-1987-Kirchner #infinity #process #set
Schematization of Infinite Sets of Rewrite Rules. Application to the Divergence of Completion Processes (HK), pp. 180–191.
DACDAC-1986-HancockD #automation #design #parallel #tutorial
Tutorial on parallel processing for design automation applications (tutorial session) (JMH, SD), pp. 69–77.
SIGMODSIGMOD-1986-BancilhonR #query #recursion
An Amateur’s Introduction to Recursive Query Processing Strategies (FB, RR), pp. 16–52.
SIGMODSIGMOD-1986-Imielinski #database #deduction #query
Query Processing in Deductive Databases with Incomplete Information (TI), pp. 268–280.
SIGMODSIGMOD-1986-LehmanC #database #in memory #memory management #query
Query Processing in Main Memory Database Management Systems (TJL, MJC), pp. 239–250.
SIGMODSIGMOD-1986-Orenstein #database #object-oriented #query
Spatial Query Processing in an Object-Oriented Database System (JAO), pp. 326–336.
VLDBVLDB-1986-ChakravarthyM #database #deduction #graph #multi #query #using
Multiple Query Processing in Deductive Databases using Query Graphs (USC, JM), pp. 384–391.
VLDBVLDB-1986-Motro #query
Completeness Information and Its Application to Query Processing (AM), pp. 170–178.
VLDBVLDB-1986-RaschidS #parallel #query #recursion
A Parallel Processing Strategy for Evaluating Recursive Queries (LR, SYWS), pp. 412–419.
VLDBVLDB-1986-SarinKS #database #process #using
Using History Information to Process Delayed Database Updates (SKS, CWK, JES), pp. 71–78.
VLDBVLDB-1986-Seki #database #distributed #network #performance
New Seat Reservation System for Japanese National Railways — Distributed Processing Network and High Efficiency Databases (ES), pp. 502–510.
VLDBVLDB-1986-Yokomori #database #logic #on the #query
On Analogical Query Processing in Logic Database (TY), pp. 376–383.
ICALPICALP-1986-ReedR #communication #csp #process
A Timed Model for Communicating Sequential Processes (GMR, AWR), pp. 314–323.
LISPLFP-1986-SteeleH #fine-grained #lisp #parallel
Connection Machine LISP: Fine-Grained Parallel Symbolic Processing (GLSJ, WDH), pp. 279–297.
ICGTGG-1986-KaplanGC #development #graph grammar #process
Supporting the Software Development Process with Attributed NLC Graph Grammars (SMK, SKG, RHC), pp. 309–325.
ICGTGG-1986-KreowskiW #concurrent #graph grammar #parallel #process
Is parallelism already concurrency? Part 2: Non-sequential processes in graph grammars (HJK, AW), pp. 361–377.
SIGIRSIGIR-1986-Thurmair #architecture #information retrieval
A Common Architecture for Different Text Processing Techniques in an Information Retrieval Environment (GT), pp. 138–143.
SIGIRSIGIR-1986-WongZRW #on the #query
On Extending the Vector Space Model for Boolean Query Processing (SKMW, WZ, VVR, PCNW), pp. 175–185.
POPLPOPL-1986-LiskovHG #communication #distributed #process
Limitations of Synchronous Communication with Static Process Structure in Languages for Distributed Computing (BL, MH, LG), pp. 150–159.
DACDAC-1985-Lemaire #design #named #performance #process #prototype #testing
GAMMA: a fast prototype design, build, and test process (LTL), pp. 773–776.
DACDAC-1985-RoyalHB #case study #independence #process
A case study in process independence (NR, JH, IB), pp. 591–596.
SIGMODSIGMOD-1985-BlainDMQ #design #process
Managing the Printed Circuit Board Design Process (TB, MD, RM, EQ), pp. 447–456.
VLDBVLDB-1985-Kiessling #correlation #on the #performance #query #semantics
On Semantic Reefs and Efficient Processing of Correlation Queries with Aggregates (WK), pp. 241–250.
VLDBVLDB-1985-LeungW #performance
File Processing Efficiency on the Content Addressable File Store (CHCL, KSW), pp. 282–291.
ICALPICALP-1985-Hennessy #algebra #communication #process
An Algebraic Theory of Fair Asynchronous Communicating Processes (MH), pp. 260–269.
ICALPICALP-1985-Larsen #equivalence #process
A Context Dependent Equivalence between Processes (KGL), pp. 373–382.
SIGIRSIGIR-1985-ChiFSL #database
Processing Free-Text Input to Obtain a Database of Medical Information (ECC, CF, NS, MSL), pp. 82–90.
POPLPOPL-1985-JonesRT #distributed #interface #named #specification
Matchmaker: An Interface Specification Language for Distributed Processing (MBJ, RFR, MRT), pp. 225–235.
POPLPOPL-1985-NguyenGO #network #process #proving
A Model and Temporal Proof System for Networks of Processes (VN, DG, SSO), pp. 121–131.
ICSEICSE-1985-CrawfordF #development #process
Software Development Process Audits — A General Procedure (SGC, MHF), pp. 137–141.
ICSEICSE-1985-Manley #process #re-engineering
Software Engineering Provisioning Process (JHM), pp. 273–284.
ICSEICSE-1985-RamseyB #process #testing #using
Analyzing the Test Process Using Structural Coverage (JR, VRB), pp. 306–312.
DACDAC-1984-AshokMR #design #problem #process
Uniform support for information handling and problem solving required by the VLSI design process (VA, WLM, JR), pp. 694–696.
DACDAC-1984-SabetySM #automation #generative #parallel
The semi-automatic generation of processing element control paths for highly parallel machines (TS, DES, BM), pp. 441–446.
DACDAC-1984-Scott #design #named #process #tutorial
Tutorial — mechanical workstation software computer aided engineering in the mechanical design process (JS), p. 605.
PODSPODS-1984-HwangDG #multi #process #query #using
Using Semiouterjoins to Process Queries in Multidatabase Systems (HYH, UD, MGG), pp. 153–162.
SIGMODSIGMOD-1984-Willard #calculus #performance #query #relational #using
Efficient Processing of Relational Calculus Expressions Using Range Query Theory (DEW), pp. 164–175.
VLDBVLDB-1984-AdibaN #data transformation #information management
Information Processing for CAD/VLSI on a Generalized Data Management System (MEA, GTN), pp. 371–374.
VLDBVLDB-1984-GoldhirschY #query
Processing Read-Only Queries Over Views With Generalization (DG, LY), pp. 344–348.
VLDBVLDB-1984-KrishnamurthyM #approach #query
Query Processing on Personal Computers: A Pragmatic Approach (Extended Abstract) (RK, SPM), pp. 26–29.
VLDBVLDB-1984-RosenthalHM #knowledge-based #query
An Example of Knowledge-Based Query Processing in a CAD/CAM DBMS (AR, SH, FM), pp. 363–370.
VLDBVLDB-1984-RosenthalR #algebra #framework #query
Extending the Algebraic Framework of Query Processing to Handle Outerjoins (AR, DSR), pp. 334–343.
VLDBVLDB-1984-YoshikawaK #difference #query
Processing Inequality Queries Based on Generalized Semi-Joins (MY, YK), pp. 416–428.
PLDISCC-1984-FisherERN #compilation #parallel
Parallel processing: a smart compiler and a dumb machine (JAF, JRE, JCR, AN), pp. 37–47.
STOCSTOC-1984-Hennessy #modelling #process
Modelling Fair Processes (MH), pp. 25–30.
PLDIBest-of-PLDI-1984-FisherERN #compilation #parallel
Parallel processing: a smart compiler and a dumb machine (with retrospective) (JAF, JRE, JCR, AN), pp. 112–124.
ICALPICALP-1984-BergstraK #algebra #process #recursion
The Algebra of Recursively Defined Processes and the Algebra of Regular Processes (JAB, JWK), pp. 82–94.
LISPLFP-1984-GabrielM #lisp #multi
Queue-based Multi-processing Lisp (RPG, JM), pp. 25–44.
LISPLFP-1984-GoldbergP
Stream Processing (AG, RP), pp. 53–62.
LISPLFP-1984-HaynesF #abstraction #process
Engines Build Process Abstractions (CTH, DPF), pp. 18–24.
SIGIRSIGIR-1984-EstallS
Shared Processing with an Advanced Intelligent Terminal (CE, FJS), pp. 153–166.
ICSEICSE-1984-Ciuffoletti #communication #fault #process
Error Recovery in Systems of Communicating Processes (AC), pp. 6–17.
ICSEICSE-1984-FischerS #communication #knowledge-based #process #re-engineering
Knowledge-Based Communication Processes in Software Engineering (GF, MS), pp. 358–368.
ICSEICSE-1984-LucenaMVC #problem #programming
The Data Transform Programming Metho: An Example for File Processing Problems (CJPdL, RCBM, PASV, DDC), pp. 388–397.
ICSEICSE-1984-RomanD #distributed #multi #process #specification #using
Multifaceted Distributed Systems Specification Using Processes and Event Synchronization (GCR, MSD), pp. 44–55.
ICLPILPC-1984-BoscoGG84 #concurrent #logic #process #prolog #specification #verification
A Prolog System for the Verification of Concurrent Processes Against Temporal Logic Specifications (PGB, GG, EG), pp. 219–229.
DACDAC-1983-DalCero #automation #multi
Automatic batch processing in multilayer ceramic metallization (ND), pp. 682–685.
PODSPODS-1983-Dayal #approach #quantifier #query
Processing Queries with Quantifiers: A Horticultural Approach (UD), pp. 125–136.
PODSPODS-1983-FischerLP #distributed #process
Impossibility of Distributed Consensus with One Faulty Process (MJF, NAL, MP), pp. 1–7.
PODSPODS-1983-Skeen #process
Determining the Last Process to Fail (DS), pp. 16–24.
SIGMODSIGMOD-1983-KambayashiY #composition #dependence #query
Query Processing Utilizing Dependencies and Horizontal Decomposition (YK, MY), pp. 55–67.
SIGMODSIGMOD-1983-YuC #database #design #distributed #on the #query
On the Design of a Query Processing Strategy in a Distributed Database Environment (CTY, CCC), pp. 30–39.
VLDBVLDB-1983-Dayal #multi #query
Processing Queries Over Generalization Hierarchies in a Multidatabase System (UD), pp. 342–353.
ICALPICALP-1983-BakkerZ #ada #process #semantics
Processes and a Fair Semantics for the Ada Rendez-Vous (JWdB, JIZ), pp. 52–66.
ICALPICALP-1983-GoltzR #process
Processes of Place/Transition-Nets (UG, WR), pp. 264–277.
ICALPICALP-1983-NicolaH #equivalence #process #testing
Testing Equivalence for Processes (RDN, MH), pp. 548–560.
ICALPICALP-1983-OlderogH #communication #process #semantics
Specification-Oriented Semantics for Communicating Processes (ERO, CARH), pp. 561–572.
SOSPSOSP-1983-PresottoM #migration #process
Process Migration in DEMOS/MP (MLP, BPM), pp. 110–119.
DACDAC-1982-Grabel #data type #distributed #towards
Object data structures towards distributed graphics processing (DG), pp. 358–364.
DACDAC-1982-MudgeRLA #image #layout #validation
Cellular image processing techniques for VLSI circuit layout validation and routing (TNM, RAR, RML, DEA), pp. 537–543.
PODSPODS-1982-GoodmanS #query
The Tree Property is Fundamental for Query Processing (NG, OS), pp. 40–48.
SIGMODSIGMOD-1982-JarkeS #database #pascal #query #relational
Query Processing Strategies in the PASCAL/R Relational Database Management System (MJ, JWS), pp. 256–264.
SIGMODSIGMOD-1982-KambayashiYY #database #distributed #query #using
Query Processing for Distributed Databases Using Generalized Semi-Joins (YK, MY, SY), pp. 151–160.
VLDBVLDB-1982-BancilhonRS #on the
On Line Processing of Compacted Relations (FB, PR, MS), pp. 263–269.
VLDBVLDB-1982-Chang #approach #distributed #heuristic #query
A Heuristic Approach to Distributed Query Processing (JMC), pp. 54–61.
ICALPICALP-1982-FlajoletS #branch #polynomial #process
A Branching Process Arising in Dynamic Hashing, Trie Searching and Polynomial Factorization (PF, JMS), pp. 239–251.
LISPLFP-1982-HudakK #distributed #garbage collection
Garbage Collection and Task Deletion in Distributed Applicative Processing Systems (PH, RMK), pp. 168–178.
LISPLFP-1982-KennawayS #process
Expressions as Processes (RK, MRS), pp. 21–28.
ICGTGG-1982-RosenfeldW #image #parallel
Cellular computers for parallel region-level image processing (AR, AYW), pp. 333–348.
SIGIRSIGIR-1982-BuellK #named #query #testing
LIARS: A Software Environment for Testing Query Processing Strategies (DAB, DHK), pp. 20–27.
POPLPOPL-1982-Francez #communication #process
Extended Naming Conventions for Communicating Processes (NF), pp. 40–45.
POPLPOPL-1982-Pratt #composition #on the #process
On the Composition of Processes (VRP), pp. 213–223.
POPLPOPL-1982-ShermanPH #logic #process
Is the Interesting Part of Process Logic Uninteresting — A Translation from PL to PDL (RS, AP, DH), pp. 347–360.
POPLPOPL-1982-Wolper #communication #logic #process #specification #synthesis #using
Specification and Synthesis of Communicating Processes using an Extended Temporal Logic (PW), pp. 20–33.
ICSEICSE-1982-BabichWW #ada #design #tool support
Design Considerations in Language Processing Tools for Ada (WAB, LW, MW), pp. 40–47.
ICSEICSE-1982-YonezakiK #functional #logic #process #specification
Functional Specification of Synchronized Processes Based on Modal Logic (NY, TK), pp. 208–217.
DACDAC-1981-Burdick #design #formal method #process #what
What to do when the seat of your pants wears out — the formalization of the VLSI design process (EB), pp. 708–709.
DACDAC-1981-Leinwand #logic #process #simulation
Process oriented logic simulation (SML), pp. 511–517.
DACDAC-1981-McDermott81a #design #process
Domain knowledge and the design process (JM), pp. 580–588.
SIGMODSIGMOD-1981-GoudaD #database #distributed #query
Optimal Semijoin Schedules For Query Processing in Local Distributed Database Systems (MGG, UD), pp. 164–175.
SIGMODSIGMOD-1981-LuoY #information management
Form Operation By Example: A Language For Office Information Processing (DL, SBY), pp. 212–223.
VLDBVLDB-1981-Borr #distributed #monitoring #reliability #transaction
Transaction Monitoring in ENCOMPASS: Reliable Distributed Transaction Processing (AJB), pp. 155–165.
VLDBVLDB-1981-Pramanik #hardware
Hardware Organization for Nonnumeric Processing (SP), pp. 66–75.
VLDBVLDB-1981-Richter #database #modelling
IML-Inscribed Nets for Modeling Text Processing and Data (Base) Management Systems (GR), pp. 363–375.
VLDBVLDB-1981-Ries #database #distributed
Distributed Data Processing Versus Distributed Data Base Processing (DRR), p. 386.
VLDBVLDB-1981-Warren #database #interactive #logic #performance #query #relational
Efficient Processing of Interactive Relational Data Base Queries expressed in Logic (DHDW), pp. 272–281.
STOCSTOC-1981-ChandraHMP #equation #logic #process
Equations between Regular Terms and an Application to Process Logic (AKC, JYH, ARM, RP), pp. 384–390.
SIGIRSIGIR-1981-Carroll #analysis
Content Analysis as a Word-Processing Option (JMC), pp. 126–131.
POPLPOPL-1981-Condry
Paging as a “Language Processing” Task (MWC), pp. 63–76.
ICSEICSE-1981-RamamrithamK #process #proving #specification
Specifying and Proving Properties of Sentinel Processes (KR, RMK), pp. 374–386.
DACDAC-1980-Yates #analysis #design #metric #process
Design process analysis: A measurement and analysis technique (KDY), pp. 420–421.
VLDBVLDB-1980-EpsteinS #analysis #database #distributed
Analysis of Distributed Data Base Processing Strategies (RSE, MS), pp. 92–101.
VLDBVLDB-1980-HammerZ #knowledge-based #query
Knowledge-Based Query Processing (MH, SBZ), pp. 137–147.
VLDBVLDB-1980-RosenkrantzH #query
Processing Conjunctive Predicates and Queries (DJR, HBHI), pp. 64–72.
ICALPICALP-1980-CousotC #analysis #communication #csp #process #semantics
Semantic Analysis of Communicating Sequential Processes (Shortened Version) (PC, RC), pp. 119–133.
LISPLISP-1980-Greenberg #emacs #lisp #multi
Prose and CONS — Multics Emacs: A Commercial Text-processing System in LISP (BG), pp. 6–12.
POPLPOPL-1980-MorrisSW #experience #string
Experience with an Applicative String Processing Language (JHMJ, ES, PW), pp. 32–46.
SOSPSOSP-J-1979-LampsonR80 #experience #monitoring #process
Experience with Processes and Monitors in Mesa (BWL, DDR), pp. 105–117.
DACDAC-1979-CarterBS #incremental
Incremental processing applied to Steinberg’s placement procedure (HWC, MAB, ZAS), pp. 26–31.
SIGMODSIGMOD-1979-IraniL #concurrent #database #modelling #network #transaction
Queuing Network Models for Concurrent Transaction Processing in a Database System (KBI, HLL), pp. 134–142.
VLDBVLDB-1979-Hardgrave #ambiguity #case study #query
Ambiguity in Processing Boolean Queries on TDMS Tree Structures: A Study of Four Different Philosophies (WTH), pp. 373–397.
VLDBVLDB-1979-IraniPT #database #design #logic
A Designer for DBMS-Processable Logical Database Structures (KBI, SP, TJT), pp. 219–231.
VLDBVLDB-1979-Schmidt #approach #parallel
Parallel Processing of Relations: A Single-Assignment Approach (JWS), pp. 398–408.
VLDBVLDB-1979-YoussefiW #database #query #relational
Query Processing in a Relational Database Management System (KY, EW), pp. 409–417.
PLDISCC-1979-CordyHW #approach #diagrams #semantics
Semantic charts: A diagrammatic approach to semantic processing (JRC, RCH, DBW), pp. 39–49.
STOCSTOC-1979-Kosaraju79a #algorithm #array #graph #parallel #performance #problem
Fast Parallel Processing Array Algorithms for some Graph Problems (Preliminary Version) (SRK), pp. 231–236.
STOCSTOC-1979-Ladner #communication #complexity #csp #problem #process
The Complexity of Problems in Systems of Communicating Sequential Processes (Extended Abstract) (REL), pp. 214–223.
SIGIRSIGIR-1979-RaghavanB #clustering #effectiveness #process
A Clustering Strategy Based on a Formalism of the Reproductive Process in Natural Systems (VVR, KB), pp. 10–22.
POPLPOPL-1979-Pratt #logic #process
Process Logic (VRP), pp. 93–100.
POPLPOPL-1979-Reif #analysis #communication #data flow #process
Data Flow Analysis of Communicating Processes (JHR), pp. 257–268.
ICSEICSE-1979-BanatreB #process
Language Features for Description of Cooperating Processes (JPB, MB), pp. 308–314.
SOSPSOSP-1979-LampsonR #experience #monitoring #process #summary
Experience with Processes and Monitors in Mesa (Summary) (BWL, DDR), pp. 43–44.
SOSPSOSP-1979-LantzR #multi #process
Virtual Terminal Management in a Multiple Process Environment (KAL, RFR), pp. 86–97.
DACDAC-1978-HaferP #automation #design #process
Register-transfer level digital design automation: The allocation process (LJH, ACP), pp. 213–219.
DACDAC-1978-Kleine #automation #design #documentation #process
Automating the software design process by means of software design and documentation language (HK), pp. 371–379.
DACDAC-1978-Moses #automation #distributed
Distributed processing in manufacturing at GTE Automatic Electric (RJM), pp. 26–33.
SIGMODSIGMOD-1978-EpsteinSW #database #distributed #query #relational
Distributed Query Processing in a Relational Data Base System (RSE, MS, EW), pp. 169–180.
SIGMODSIGMOD-1978-Griffeth #database #query
Nonprocedural Query Processing for Databases with Access Paths (NDG), pp. 160–168.
ICGTGG-1978-Maggiolo-SchettiniW #process
Processes in Structures (AMS, JW), pp. 317–330.
ICSEICSE-1978-VleckC #multi #process #programming
The Multics System Programming Process (THVV, CTC), pp. 278–280.
DACDAC-1977-JaffeY #automation #diagrams #using
Automating analog circuit diagrams using a list processing language (RCJ, JPY), pp. 391–395.
VLDBVLDB-1977-DaleY #database #interface #multi
A Processing Interface for Multiple External Schema Access to a Data Base Management System (AGD, CVY), pp. 318–325.
VLDBVLDB-1977-Merten #database #information management
Information Processing Systems in a Database Environment (AGM), p. 2.
POPLPOPL-1977-DeMilloLP #process #proving #social #source code #theorem
Social Processes and Proofs of Theorems and Programs (RAD, RJL, AJP), pp. 206–214.
SOSPSOSP-1977-Russell #process
Process Backup in Producer-Consumer Systems (DLR), pp. 151–157.
SIGMODSIGMOD-1976-Beck #approach
An Approach to the Creation of Structured Data Processing Systems (LLB), pp. 179–188.
SIGMODSIGMOD-1976-Kahn #database #design #process
A Method for Describing Information Required by the Database Design Process (BKK), pp. 53–64.
SIGMODSIGMOD-1976-WongY #composition #named #query
Decomposition — A Strategy for Query Processing (Abstract) (EW, KY), p. 155.
STOCSTOC-1976-Kimura #algebra #communication #process
An Algebraic System for Process Structuring and Interprocess Communication (TK), pp. 92–100.
AdaDIPL-1976-AndrewsM #parallel
Language features for parallel processing and resource control (GRA, JRM), pp. 243–287.
AdaDIPL-1976-Jackson #composition #parallel
Parallel processing and modular software construction (KJ), pp. 436–443.
POPLPOPL-1976-GriffithsP #process #specification #verification
Verifying Formal Specifications of Synchronous Processes (PPG, CJP), pp. 192–208.
POPLPOPL-1976-Ruth #automation #design
Automatic Design of Data Processing Systems (GRR), pp. 50–57.
ICSEICSE-1976-Alford #realtime #requirements
A Requirements Engineering Methodology for Real-Time Processing Requirements (Abstract) (MWA), p. 69.
ICSEICSE-1976-BalkovichE #performance #requirements #research #specification #towards
Research Towards a technology to Support the Specification of Data Processing System Performance Requirements (EEB, GPE), pp. 110–115.
ICSEICSE-1976-Bauer #process #programming
Programming as an Evolutionary Process (FLB), pp. 223–234.
ICSEICSE-1976-GauldingL #design #development #process #realtime
Process Design Engineering: A Methodology for Real-Time Software Development (SNG, JDL), pp. 80–85.
ICSEICSE-1976-Koppang #design #development #process #set #tool support
Process Design System: An Integrated Set of Software Development Tools (RGK), pp. 86–90.
ICSEICSE-1976-Salter #requirements
A Methodology for Decomposing System Requirements Into Data Processing Requirements (KGS), pp. 91–101.
ICSEICSE-1976-TeichroewH #analysis #documentation #information management #named
PSL/PSA: A Computer-Aided Technique for Structured Documentation and Analysis of Information Processing Systems (Abstract) (DT, EAHI), p. 2.
DACDAC-1975-Teicholz #process
The computer in the space planning process (ET), pp. 331–344.
VLDBVLDB-1975-DasTY #design
Reorganization Points for File Designs with Nonlinear Processing Costs (KSD, TJT, SBY), pp. 516–518.
POPLPOPL-1975-Lipton #named #process #proving #reduction
Reduction: A New Method of Proving Properties of Systems of Processes (RJL), pp. 78–86.
SOSPSOSP-1975-Janson #multi #process
Dynamic Linking and Environment Initialization in a Multi-Domain Process (PAJ), pp. 43–50.
DACDAC-1974-AkgermanK #design #process #simulation
Computer-aided process design and simulation for forging of turbine blades (NA, DJK), pp. 47–51.
SIGMODSIGFIDET-1974-Berra #database
Associative Processing in Data Base Management (PBB), pp. 463–476.
SIGMODSIGFIDET-1974-Sayani #information management #transaction
Restart and Recovery in a Transaction-Oriented Information Processing System (HHS), pp. 351–366.
DACDAC-1973-DoonerVL #design #interactive #process
An interactive graphic and process controlled system for composing and sampling loom constrained designs (NPD, PV, JRL), pp. 247–252.
POPLPOPL-1973-Lambert #scalability
Large Scale File Processing — Pogol (GJL), pp. 226–234.
SOSPSOSP-1973-ArvindKS #generative #on the #process #string
On Reference String Generation Processes (A, RYK, ES), pp. 80–87.
SIGMODSIGFIDET-1972-BachmanB #architecture #process
Architecture Definition Technique: Its Objectives Theory, Process, Facilities and Practice (CWB, JB), pp. 257–305.
STOCSTOC-1972-Schnorr #complexity #effectiveness #process #random testing #testing
The Process Complexity and Effective Random Tests (CPS), pp. 168–176.
SOSPSOSP-J-1973-Habermann72 #communication #process
Synchronization of Communicating Processes (ANH), pp. 171–176.
SIGIRSIGIR-1971-MulfordR #scalability
Data Compression Techniques for Economic Processing of Large Commercial Files (JEM, RKR), pp. 207–215.
SIGIRSIGIR-1971-PacakP #automation #semantics
The Function of Semantics in Automated Language Processing (MP, AWP), pp. 5–18.
SOSPSOSP-1971-Baskett #dependence #scheduling
The Dependence of Computer System Queues upon Processing Time Distribution and Central Processor Scheduling (FB), pp. 109–113.
SOSPSOSP-1971-Easton #process
Process Synchronization without Long-Term Interlock (WBE), pp. 95–100.
SOSPSOSP-1971-Habermann #communication #process
Synchronization of Communicating Processes (Abstract) (ANH), pp. 80–85.
SOSPSOSP-1971-Varney #operating system #process
Process Selection in an Hierarchical Operating System (RCV), pp. 106–108.
SIGMODSIGFIDET-1970-Hatfield #summary
Data Description of Text Structures/Text Processing (pre-discussion summary) (DJH), p. 285.
SIGMODSIGFIDET-1970-Olle #summary #trade-off
Storage and Processing Trade-Offs (pre-discussion summary) (TWO), pp. 287–288.
STOCSTOC-1970-Chang #2d #analysis #using
The Analysis of Two-Dimensional Patterns using Picture Processing Grammars (SKC), pp. 206–216.
SOSPSOSP-1969-BernsteinDK #communication #process
Process control and communication (AJB, GDD, RHK), pp. 60–66.
SOSPSOSP-1969-BetourneBFKKKM #multi #process #resource management
Process management and resource sharing in the multiaccess system “ESOPE” (CB, JB, JF, CK, JK, SK, JM), pp. 67–74.
DACDAC-1968-KlemetsmoMW #automation #process
Graphic display techniques in the automated interconnection process (RRK, GAM, AIW).
DACDAC-1968-Rader #equation #logic #syntax
Application of a syntax driver to logic equation processing and data-control card scanning (JAR).
SOSPSOSP-1967-DaleyD68 #memory management #multi #process
Virtual Memory, Processes, and Sharing in MULTICS (RCD, JBD), pp. 306–312.
SOSPSOSP-1967-Graham68 #information management
Protection in an information processing utility (RMG), pp. 365–369.
DACSHARE-1965-Falkoff #automation #design #process
Formal description of processes — the first step in design automation (ADF).
DACSHARE-1964-Smith
Graphic Data Processing (CFS).

Bibliography of Software Language Engineering in Generated Hypertext (BibSLEIGH) is created and maintained by Dr. Vadim Zaytsev.
Hosted as a part of SLEBOK on GitHub.